Hardware/antennas: Medium_Antenna_Dual_PAAM_Main_Box.step

File Medium_Antenna_Dual_PAAM_Main_Box.step, 121.7 KB (added by jkol, 22 months ago)
Line 
1ISO-10303-21;
2HEADER;
3/* Generated by software containing ST-Developer
4 * from STEP Tools, Inc. (www.steptools.com)
5 */
6
7FILE_DESCRIPTION(
8/* description */ (''),
9/* implementation_level */ '2;1');
10
11FILE_NAME(
12/* name */
13'C:/Users/jkol/Desktop/Medium Antenna Dual PAAM v14 Main Box.step',
14/* time_stamp */ '2022-02-09T11:53:57-05:00',
15/* author */ (''),
16/* organization */ (''),
17/* preprocessor_version */ 'ST-DEVELOPER v18.1',
18/* originating_system */ 'Autodesk Translation Framework v10.10.0.1391',
19
20/* authorisation */ '');
21
22FILE_SCHEMA (('AUTOMOTIVE_DESIGN { 1 0 10303 214 3 1 1 }'));
23ENDSEC;
24
25DATA;
26#10=MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION('',(#13),#2507);
27#11=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#2516,#12);
28#12=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#14),#2506);
29#13=STYLED_ITEM('',(#2525),#14);
30#14=MANIFOLD_SOLID_BREP('Main box',#1495);
31#15=FACE_BOUND('',#252,.T.);
32#16=FACE_BOUND('',#253,.T.);
33#17=FACE_BOUND('',#254,.T.);
34#18=FACE_BOUND('',#255,.T.);
35#19=FACE_BOUND('',#256,.T.);
36#20=FACE_BOUND('',#259,.T.);
37#21=FACE_BOUND('',#260,.T.);
38#22=FACE_BOUND('',#261,.T.);
39#23=FACE_BOUND('',#262,.T.);
40#24=FACE_BOUND('',#263,.T.);
41#25=FACE_BOUND('',#265,.T.);
42#26=FACE_BOUND('',#267,.T.);
43#27=FACE_BOUND('',#268,.T.);
44#28=FACE_BOUND('',#269,.T.);
45#29=FACE_BOUND('',#270,.T.);
46#30=FACE_BOUND('',#272,.T.);
47#31=FACE_BOUND('',#274,.T.);
48#32=FACE_BOUND('',#275,.T.);
49#33=FACE_BOUND('',#276,.T.);
50#34=FACE_BOUND('',#277,.T.);
51#35=FACE_BOUND('',#278,.T.);
52#36=FACE_BOUND('',#279,.T.);
53#37=FACE_BOUND('',#280,.T.);
54#38=FACE_BOUND('',#281,.T.);
55#39=FACE_BOUND('',#282,.T.);
56#40=FACE_BOUND('',#283,.T.);
57#41=FACE_BOUND('',#284,.T.);
58#42=FACE_BOUND('',#286,.T.);
59#43=FACE_BOUND('',#287,.T.);
60#44=FACE_BOUND('',#288,.T.);
61#45=FACE_BOUND('',#289,.T.);
62#46=FACE_BOUND('',#290,.T.);
63#47=FACE_BOUND('',#291,.T.);
64#48=FACE_BOUND('',#292,.T.);
65#49=FACE_BOUND('',#293,.T.);
66#50=FACE_BOUND('',#294,.T.);
67#51=FACE_BOUND('',#301,.T.);
68#52=FACE_BOUND('',#304,.T.);
69#53=FACE_BOUND('',#306,.T.);
70#54=FACE_BOUND('',#308,.T.);
71#55=FACE_BOUND('',#309,.T.);
72#56=FACE_BOUND('',#310,.T.);
73#57=FACE_BOUND('',#311,.T.);
74#58=FACE_BOUND('',#313,.T.);
75#59=FACE_BOUND('',#315,.T.);
76#60=FACE_BOUND('',#316,.T.);
77#61=FACE_BOUND('',#317,.T.);
78#62=FACE_BOUND('',#318,.T.);
79#63=FACE_BOUND('',#319,.T.);
80#64=FACE_BOUND('',#320,.T.);
81#65=FACE_BOUND('',#321,.T.);
82#66=FACE_BOUND('',#322,.T.);
83#67=FACE_BOUND('',#323,.T.);
84#68=FACE_BOUND('',#324,.T.);
85#69=FACE_BOUND('',#325,.T.);
86#70=FACE_BOUND('',#327,.T.);
87#71=FACE_BOUND('',#328,.T.);
88#72=FACE_BOUND('',#329,.T.);
89#73=FACE_BOUND('',#330,.T.);
90#74=FACE_BOUND('',#331,.T.);
91#75=FACE_BOUND('',#332,.T.);
92#76=FACE_BOUND('',#333,.T.);
93#77=FACE_BOUND('',#334,.T.);
94#78=FACE_BOUND('',#335,.T.);
95#79=PLANE('',#1524);
96#80=PLANE('',#1528);
97#81=PLANE('',#1532);
98#82=PLANE('',#1536);
99#83=PLANE('',#1537);
100#84=PLANE('',#1541);
101#85=PLANE('',#1545);
102#86=PLANE('',#1549);
103#87=PLANE('',#1556);
104#88=PLANE('',#1560);
105#89=PLANE('',#1564);
106#90=PLANE('',#1568);
107#91=PLANE('',#1569);
108#92=PLANE('',#1573);
109#93=PLANE('',#1577);
110#94=PLANE('',#1581);
111#95=PLANE('',#1636);
112#96=PLANE('',#1637);
113#97=PLANE('',#1638);
114#98=PLANE('',#1639);
115#99=PLANE('',#1640);
116#100=PLANE('',#1641);
117#101=PLANE('',#1642);
118#102=PLANE('',#1643);
119#103=PLANE('',#1644);
120#104=PLANE('',#1645);
121#105=PLANE('',#1646);
122#106=PLANE('',#1647);
123#107=PLANE('',#1648);
124#108=PLANE('',#1649);
125#109=PLANE('',#1650);
126#110=PLANE('',#1651);
127#111=PLANE('',#1652);
128#112=PLANE('',#1653);
129#113=PLANE('',#1654);
130#114=PLANE('',#1655);
131#115=PLANE('',#1656);
132#116=FACE_OUTER_BOUND('',#194,.T.);
133#117=FACE_OUTER_BOUND('',#195,.T.);
134#118=FACE_OUTER_BOUND('',#196,.T.);
135#119=FACE_OUTER_BOUND('',#197,.T.);
136#120=FACE_OUTER_BOUND('',#198,.T.);
137#121=FACE_OUTER_BOUND('',#199,.T.);
138#122=FACE_OUTER_BOUND('',#200,.T.);
139#123=FACE_OUTER_BOUND('',#201,.T.);
140#124=FACE_OUTER_BOUND('',#202,.T.);
141#125=FACE_OUTER_BOUND('',#203,.T.);
142#126=FACE_OUTER_BOUND('',#204,.T.);
143#127=FACE_OUTER_BOUND('',#205,.T.);
144#128=FACE_OUTER_BOUND('',#206,.T.);
145#129=FACE_OUTER_BOUND('',#207,.T.);
146#130=FACE_OUTER_BOUND('',#208,.T.);
147#131=FACE_OUTER_BOUND('',#209,.T.);
148#132=FACE_OUTER_BOUND('',#210,.T.);
149#133=FACE_OUTER_BOUND('',#211,.T.);
150#134=FACE_OUTER_BOUND('',#212,.T.);
151#135=FACE_OUTER_BOUND('',#213,.T.);
152#136=FACE_OUTER_BOUND('',#214,.T.);
153#137=FACE_OUTER_BOUND('',#215,.T.);
154#138=FACE_OUTER_BOUND('',#216,.T.);
155#139=FACE_OUTER_BOUND('',#217,.T.);
156#140=FACE_OUTER_BOUND('',#218,.T.);
157#141=FACE_OUTER_BOUND('',#219,.T.);
158#142=FACE_OUTER_BOUND('',#220,.T.);
159#143=FACE_OUTER_BOUND('',#221,.T.);
160#144=FACE_OUTER_BOUND('',#222,.T.);
161#145=FACE_OUTER_BOUND('',#223,.T.);
162#146=FACE_OUTER_BOUND('',#224,.T.);
163#147=FACE_OUTER_BOUND('',#225,.T.);
164#148=FACE_OUTER_BOUND('',#226,.T.);
165#149=FACE_OUTER_BOUND('',#227,.T.);
166#150=FACE_OUTER_BOUND('',#228,.T.);
167#151=FACE_OUTER_BOUND('',#229,.T.);
168#152=FACE_OUTER_BOUND('',#230,.T.);
169#153=FACE_OUTER_BOUND('',#231,.T.);
170#154=FACE_OUTER_BOUND('',#232,.T.);
171#155=FACE_OUTER_BOUND('',#233,.T.);
172#156=FACE_OUTER_BOUND('',#234,.T.);
173#157=FACE_OUTER_BOUND('',#235,.T.);
174#158=FACE_OUTER_BOUND('',#236,.T.);
175#159=FACE_OUTER_BOUND('',#237,.T.);
176#160=FACE_OUTER_BOUND('',#238,.T.);
177#161=FACE_OUTER_BOUND('',#239,.T.);
178#162=FACE_OUTER_BOUND('',#240,.T.);
179#163=FACE_OUTER_BOUND('',#241,.T.);
180#164=FACE_OUTER_BOUND('',#242,.T.);
181#165=FACE_OUTER_BOUND('',#243,.T.);
182#166=FACE_OUTER_BOUND('',#244,.T.);
183#167=FACE_OUTER_BOUND('',#245,.T.);
184#168=FACE_OUTER_BOUND('',#246,.T.);
185#169=FACE_OUTER_BOUND('',#247,.T.);
186#170=FACE_OUTER_BOUND('',#248,.T.);
187#171=FACE_OUTER_BOUND('',#249,.T.);
188#172=FACE_OUTER_BOUND('',#250,.T.);
189#173=FACE_OUTER_BOUND('',#251,.T.);
190#174=FACE_OUTER_BOUND('',#257,.T.);
191#175=FACE_OUTER_BOUND('',#258,.T.);
192#176=FACE_OUTER_BOUND('',#264,.T.);
193#177=FACE_OUTER_BOUND('',#266,.T.);
194#178=FACE_OUTER_BOUND('',#271,.T.);
195#179=FACE_OUTER_BOUND('',#273,.T.);
196#180=FACE_OUTER_BOUND('',#285,.T.);
197#181=FACE_OUTER_BOUND('',#295,.T.);
198#182=FACE_OUTER_BOUND('',#296,.T.);
199#183=FACE_OUTER_BOUND('',#297,.T.);
200#184=FACE_OUTER_BOUND('',#298,.T.);
201#185=FACE_OUTER_BOUND('',#299,.T.);
202#186=FACE_OUTER_BOUND('',#300,.T.);
203#187=FACE_OUTER_BOUND('',#302,.T.);
204#188=FACE_OUTER_BOUND('',#303,.T.);
205#189=FACE_OUTER_BOUND('',#305,.T.);
206#190=FACE_OUTER_BOUND('',#307,.T.);
207#191=FACE_OUTER_BOUND('',#312,.T.);
208#192=FACE_OUTER_BOUND('',#314,.T.);
209#193=FACE_OUTER_BOUND('',#326,.T.);
210#194=EDGE_LOOP('',(#980,#981,#982,#983));
211#195=EDGE_LOOP('',(#984,#985,#986,#987));
212#196=EDGE_LOOP('',(#988,#989,#990,#991));
213#197=EDGE_LOOP('',(#992,#993,#994,#995));
214#198=EDGE_LOOP('',(#996,#997,#998,#999));
215#199=EDGE_LOOP('',(#1000,#1001,#1002,#1003));
216#200=EDGE_LOOP('',(#1004,#1005,#1006,#1007));
217#201=EDGE_LOOP('',(#1008,#1009,#1010,#1011));
218#202=EDGE_LOOP('',(#1012,#1013,#1014,#1015));
219#203=EDGE_LOOP('',(#1016));
220#204=EDGE_LOOP('',(#1017,#1018,#1019,#1020));
221#205=EDGE_LOOP('',(#1021));
222#206=EDGE_LOOP('',(#1022,#1023,#1024,#1025));
223#207=EDGE_LOOP('',(#1026));
224#208=EDGE_LOOP('',(#1027,#1028,#1029,#1030));
225#209=EDGE_LOOP('',(#1031));
226#210=EDGE_LOOP('',(#1032,#1033,#1034,#1035));
227#211=EDGE_LOOP('',(#1036,#1037,#1038,#1039));
228#212=EDGE_LOOP('',(#1040,#1041,#1042,#1043));
229#213=EDGE_LOOP('',(#1044,#1045,#1046,#1047));
230#214=EDGE_LOOP('',(#1048,#1049,#1050,#1051));
231#215=EDGE_LOOP('',(#1052,#1053,#1054,#1055));
232#216=EDGE_LOOP('',(#1056,#1057,#1058,#1059));
233#217=EDGE_LOOP('',(#1060,#1061,#1062,#1063));
234#218=EDGE_LOOP('',(#1064,#1065,#1066,#1067));
235#219=EDGE_LOOP('',(#1068));
236#220=EDGE_LOOP('',(#1069,#1070,#1071,#1072));
237#221=EDGE_LOOP('',(#1073));
238#222=EDGE_LOOP('',(#1074,#1075,#1076,#1077));
239#223=EDGE_LOOP('',(#1078));
240#224=EDGE_LOOP('',(#1079,#1080,#1081,#1082));
241#225=EDGE_LOOP('',(#1083));
242#226=EDGE_LOOP('',(#1084,#1085,#1086,#1087));
243#227=EDGE_LOOP('',(#1088,#1089,#1090,#1091));
244#228=EDGE_LOOP('',(#1092,#1093,#1094,#1095));
245#229=EDGE_LOOP('',(#1096,#1097,#1098,#1099));
246#230=EDGE_LOOP('',(#1100,#1101,#1102,#1103));
247#231=EDGE_LOOP('',(#1104,#1105,#1106,#1107));
248#232=EDGE_LOOP('',(#1108,#1109,#1110,#1111));
249#233=EDGE_LOOP('',(#1112,#1113,#1114,#1115));
250#234=EDGE_LOOP('',(#1116,#1117,#1118,#1119));
251#235=EDGE_LOOP('',(#1120,#1121,#1122,#1123));
252#236=EDGE_LOOP('',(#1124,#1125,#1126,#1127));
253#237=EDGE_LOOP('',(#1128,#1129,#1130,#1131));
254#238=EDGE_LOOP('',(#1132,#1133,#1134,#1135));
255#239=EDGE_LOOP('',(#1136,#1137,#1138,#1139));
256#240=EDGE_LOOP('',(#1140,#1141,#1142,#1143));
257#241=EDGE_LOOP('',(#1144,#1145,#1146,#1147));
258#242=EDGE_LOOP('',(#1148,#1149,#1150,#1151));
259#243=EDGE_LOOP('',(#1152,#1153,#1154,#1155));
260#244=EDGE_LOOP('',(#1156,#1157,#1158,#1159));
261#245=EDGE_LOOP('',(#1160,#1161,#1162,#1163));
262#246=EDGE_LOOP('',(#1164,#1165,#1166,#1167));
263#247=EDGE_LOOP('',(#1168,#1169,#1170,#1171));
264#248=EDGE_LOOP('',(#1172,#1173,#1174,#1175));
265#249=EDGE_LOOP('',(#1176,#1177,#1178,#1179));
266#250=EDGE_LOOP('',(#1180,#1181,#1182,#1183));
267#251=EDGE_LOOP('',(#1184,#1185,#1186,#1187));
268#252=EDGE_LOOP('',(#1188));
269#253=EDGE_LOOP('',(#1189));
270#254=EDGE_LOOP('',(#1190));
271#255=EDGE_LOOP('',(#1191));
272#256=EDGE_LOOP('',(#1192,#1193,#1194,#1195,#1196,#1197,#1198,#1199));
273#257=EDGE_LOOP('',(#1200,#1201,#1202,#1203));
274#258=EDGE_LOOP('',(#1204,#1205,#1206,#1207));
275#259=EDGE_LOOP('',(#1208));
276#260=EDGE_LOOP('',(#1209));
277#261=EDGE_LOOP('',(#1210));
278#262=EDGE_LOOP('',(#1211));
279#263=EDGE_LOOP('',(#1212,#1213,#1214,#1215,#1216,#1217,#1218,#1219));
280#264=EDGE_LOOP('',(#1220,#1221,#1222,#1223));
281#265=EDGE_LOOP('',(#1224));
282#266=EDGE_LOOP('',(#1225,#1226,#1227,#1228));
283#267=EDGE_LOOP('',(#1229));
284#268=EDGE_LOOP('',(#1230));
285#269=EDGE_LOOP('',(#1231));
286#270=EDGE_LOOP('',(#1232));
287#271=EDGE_LOOP('',(#1233,#1234,#1235,#1236));
288#272=EDGE_LOOP('',(#1237));
289#273=EDGE_LOOP('',(#1238,#1239,#1240,#1241,#1242,#1243));
290#274=EDGE_LOOP('',(#1244));
291#275=EDGE_LOOP('',(#1245));
292#276=EDGE_LOOP('',(#1246));
293#277=EDGE_LOOP('',(#1247));
294#278=EDGE_LOOP('',(#1248));
295#279=EDGE_LOOP('',(#1249));
296#280=EDGE_LOOP('',(#1250));
297#281=EDGE_LOOP('',(#1251));
298#282=EDGE_LOOP('',(#1252));
299#283=EDGE_LOOP('',(#1253));
300#284=EDGE_LOOP('',(#1254));
301#285=EDGE_LOOP('',(#1255,#1256,#1257,#1258,#1259,#1260));
302#286=EDGE_LOOP('',(#1261));
303#287=EDGE_LOOP('',(#1262));
304#288=EDGE_LOOP('',(#1263));
305#289=EDGE_LOOP('',(#1264));
306#290=EDGE_LOOP('',(#1265));
307#291=EDGE_LOOP('',(#1266));
308#292=EDGE_LOOP('',(#1267));
309#293=EDGE_LOOP('',(#1268));
310#294=EDGE_LOOP('',(#1269,#1270,#1271,#1272,#1273));
311#295=EDGE_LOOP('',(#1274,#1275,#1276,#1277));
312#296=EDGE_LOOP('',(#1278,#1279,#1280,#1281));
313#297=EDGE_LOOP('',(#1282,#1283,#1284,#1285));
314#298=EDGE_LOOP('',(#1286,#1287,#1288,#1289));
315#299=EDGE_LOOP('',(#1290,#1291,#1292,#1293));
316#300=EDGE_LOOP('',(#1294,#1295,#1296,#1297));
317#301=EDGE_LOOP('',(#1298,#1299,#1300,#1301,#1302,#1303,#1304,#1305));
318#302=EDGE_LOOP('',(#1306,#1307,#1308,#1309));
319#303=EDGE_LOOP('',(#1310,#1311,#1312,#1313));
320#304=EDGE_LOOP('',(#1314,#1315,#1316,#1317,#1318,#1319,#1320,#1321));
321#305=EDGE_LOOP('',(#1322,#1323,#1324,#1325));
322#306=EDGE_LOOP('',(#1326));
323#307=EDGE_LOOP('',(#1327,#1328,#1329,#1330));
324#308=EDGE_LOOP('',(#1331));
325#309=EDGE_LOOP('',(#1332));
326#310=EDGE_LOOP('',(#1333));
327#311=EDGE_LOOP('',(#1334));
328#312=EDGE_LOOP('',(#1335,#1336,#1337,#1338));
329#313=EDGE_LOOP('',(#1339));
330#314=EDGE_LOOP('',(#1340,#1341,#1342,#1343,#1344,#1345));
331#315=EDGE_LOOP('',(#1346));
332#316=EDGE_LOOP('',(#1347));
333#317=EDGE_LOOP('',(#1348));
334#318=EDGE_LOOP('',(#1349));
335#319=EDGE_LOOP('',(#1350));
336#320=EDGE_LOOP('',(#1351));
337#321=EDGE_LOOP('',(#1352));
338#322=EDGE_LOOP('',(#1353));
339#323=EDGE_LOOP('',(#1354));
340#324=EDGE_LOOP('',(#1355));
341#325=EDGE_LOOP('',(#1356));
342#326=EDGE_LOOP('',(#1357,#1358,#1359,#1360,#1361,#1362));
343#327=EDGE_LOOP('',(#1363));
344#328=EDGE_LOOP('',(#1364));
345#329=EDGE_LOOP('',(#1365));
346#330=EDGE_LOOP('',(#1366));
347#331=EDGE_LOOP('',(#1367));
348#332=EDGE_LOOP('',(#1368));
349#333=EDGE_LOOP('',(#1369));
350#334=EDGE_LOOP('',(#1370));
351#335=EDGE_LOOP('',(#1371,#1372,#1373,#1374,#1375));
352#336=LINE('',#2100,#452);
353#337=LINE('',#2106,#453);
354#338=LINE('',#2112,#454);
355#339=LINE('',#2118,#455);
356#340=LINE('',#2124,#456);
357#341=LINE('',#2130,#457);
358#342=LINE('',#2136,#458);
359#343=LINE('',#2142,#459);
360#344=LINE('',#2148,#460);
361#345=LINE('',#2155,#461);
362#346=LINE('',#2162,#462);
363#347=LINE('',#2169,#463);
364#348=LINE('',#2175,#464);
365#349=LINE('',#2177,#465);
366#350=LINE('',#2179,#466);
367#351=LINE('',#2180,#467);
368#352=LINE('',#2186,#468);
369#353=LINE('',#2189,#469);
370#354=LINE('',#2191,#470);
371#355=LINE('',#2192,#471);
372#356=LINE('',#2198,#472);
373#357=LINE('',#2201,#473);
374#358=LINE('',#2203,#474);
375#359=LINE('',#2204,#475);
376#360=LINE('',#2210,#476);
377#361=LINE('',#2213,#477);
378#362=LINE('',#2215,#478);
379#363=LINE('',#2216,#479);
380#364=LINE('',#2224,#480);
381#365=LINE('',#2231,#481);
382#366=LINE('',#2238,#482);
383#367=LINE('',#2245,#483);
384#368=LINE('',#2251,#484);
385#369=LINE('',#2253,#485);
386#370=LINE('',#2255,#486);
387#371=LINE('',#2256,#487);
388#372=LINE('',#2262,#488);
389#373=LINE('',#2265,#489);
390#374=LINE('',#2267,#490);
391#375=LINE('',#2268,#491);
392#376=LINE('',#2274,#492);
393#377=LINE('',#2277,#493);
394#378=LINE('',#2279,#494);
395#379=LINE('',#2280,#495);
396#380=LINE('',#2286,#496);
397#381=LINE('',#2289,#497);
398#382=LINE('',#2291,#498);
399#383=LINE('',#2292,#499);
400#384=LINE('',#2300,#500);
401#385=LINE('',#2306,#501);
402#386=LINE('',#2312,#502);
403#387=LINE('',#2318,#503);
404#388=LINE('',#2324,#504);
405#389=LINE('',#2330,#505);
406#390=LINE('',#2336,#506);
407#391=LINE('',#2342,#507);
408#392=LINE('',#2348,#508);
409#393=LINE('',#2354,#509);
410#394=LINE('',#2360,#510);
411#395=LINE('',#2366,#511);
412#396=LINE('',#2372,#512);
413#397=LINE('',#2378,#513);
414#398=LINE('',#2384,#514);
415#399=LINE('',#2390,#515);
416#400=LINE('',#2396,#516);
417#401=LINE('',#2401,#517);
418#402=LINE('',#2403,#518);
419#403=LINE('',#2405,#519);
420#404=LINE('',#2406,#520);
421#405=LINE('',#2409,#521);
422#406=LINE('',#2411,#522);
423#407=LINE('',#2412,#523);
424#408=LINE('',#2415,#524);
425#409=LINE('',#2417,#525);
426#410=LINE('',#2418,#526);
427#411=LINE('',#2421,#527);
428#412=LINE('',#2423,#528);
429#413=LINE('',#2424,#529);
430#414=LINE('',#2427,#530);
431#415=LINE('',#2429,#531);
432#416=LINE('',#2430,#532);
433#417=LINE('',#2432,#533);
434#418=LINE('',#2433,#534);
435#419=LINE('',#2438,#535);
436#420=LINE('',#2440,#536);
437#421=LINE('',#2442,#537);
438#422=LINE('',#2444,#538);
439#423=LINE('',#2445,#539);
440#424=LINE('',#2448,#540);
441#425=LINE('',#2450,#541);
442#426=LINE('',#2451,#542);
443#427=LINE('',#2454,#543);
444#428=LINE('',#2455,#544);
445#429=LINE('',#2458,#545);
446#430=LINE('',#2459,#546);
447#431=LINE('',#2462,#547);
448#432=LINE('',#2463,#548);
449#433=LINE('',#2465,#549);
450#434=LINE('',#2469,#550);
451#435=LINE('',#2471,#551);
452#436=LINE('',#2473,#552);
453#437=LINE('',#2474,#553);
454#438=LINE('',#2477,#554);
455#439=LINE('',#2479,#555);
456#440=LINE('',#2480,#556);
457#441=LINE('',#2483,#557);
458#442=LINE('',#2485,#558);
459#443=LINE('',#2486,#559);
460#444=LINE('',#2489,#560);
461#445=LINE('',#2491,#561);
462#446=LINE('',#2492,#562);
463#447=LINE('',#2495,#563);
464#448=LINE('',#2497,#564);
465#449=LINE('',#2498,#565);
466#450=LINE('',#2500,#566);
467#451=LINE('',#2501,#567);
468#452=VECTOR('',#1663,0.1);
469#453=VECTOR('',#1670,0.1);
470#454=VECTOR('',#1677,0.1);
471#455=VECTOR('',#1684,0.1);
472#456=VECTOR('',#1691,0.1);
473#457=VECTOR('',#1698,0.1);
474#458=VECTOR('',#1705,0.1);
475#459=VECTOR('',#1712,0.1);
476#460=VECTOR('',#1719,0.0689999999999992);
477#461=VECTOR('',#1728,0.0689999999999992);
478#462=VECTOR('',#1737,0.0689999999999992);
479#463=VECTOR('',#1746,0.0689999999999992);
480#464=VECTOR('',#1753,0.393700787401575);
481#465=VECTOR('',#1754,0.393700787401575);
482#466=VECTOR('',#1755,0.393700787401575);
483#467=VECTOR('',#1756,0.393700787401575);
484#468=VECTOR('',#1763,0.393700787401575);
485#469=VECTOR('',#1766,0.393700787401575);
486#470=VECTOR('',#1767,0.393700787401575);
487#471=VECTOR('',#1768,0.393700787401575);
488#472=VECTOR('',#1775,0.393700787401575);
489#473=VECTOR('',#1778,0.393700787401575);
490#474=VECTOR('',#1779,0.393700787401575);
491#475=VECTOR('',#1780,0.393700787401575);
492#476=VECTOR('',#1787,0.393700787401575);
493#477=VECTOR('',#1790,0.393700787401575);
494#478=VECTOR('',#1791,0.393700787401575);
495#479=VECTOR('',#1792,0.393700787401575);
496#480=VECTOR('',#1803,0.0689999999999992);
497#481=VECTOR('',#1812,0.0689999999999992);
498#482=VECTOR('',#1821,0.0689999999999992);
499#483=VECTOR('',#1830,0.0689999999999992);
500#484=VECTOR('',#1837,0.393700787401575);
501#485=VECTOR('',#1838,0.393700787401575);
502#486=VECTOR('',#1839,0.393700787401575);
503#487=VECTOR('',#1840,0.393700787401575);
504#488=VECTOR('',#1847,0.393700787401575);
505#489=VECTOR('',#1850,0.393700787401575);
506#490=VECTOR('',#1851,0.393700787401575);
507#491=VECTOR('',#1852,0.393700787401575);
508#492=VECTOR('',#1859,0.393700787401575);
509#493=VECTOR('',#1862,0.393700787401575);
510#494=VECTOR('',#1863,0.393700787401575);
511#495=VECTOR('',#1864,0.393700787401575);
512#496=VECTOR('',#1871,0.393700787401575);
513#497=VECTOR('',#1874,0.393700787401575);
514#498=VECTOR('',#1875,0.393700787401575);
515#499=VECTOR('',#1876,0.393700787401575);
516#500=VECTOR('',#1887,1.73);
517#501=VECTOR('',#1894,1.73);
518#502=VECTOR('',#1901,0.1985);
519#503=VECTOR('',#1908,0.1985);
520#504=VECTOR('',#1915,0.1985);
521#505=VECTOR('',#1922,0.1985);
522#506=VECTOR('',#1929,0.0799999999999996);
523#507=VECTOR('',#1936,0.0799999999999996);
524#508=VECTOR('',#1943,0.335);
525#509=VECTOR('',#1950,0.0799999999999996);
526#510=VECTOR('',#1957,0.0799999999999996);
527#511=VECTOR('',#1964,0.375);
528#512=VECTOR('',#1971,0.0799999999999996);
529#513=VECTOR('',#1978,0.335);
530#514=VECTOR('',#1985,0.335);
531#515=VECTOR('',#1992,0.0799999999999996);
532#516=VECTOR('',#1999,0.335);
533#517=VECTOR('',#2004,0.393700787401575);
534#518=VECTOR('',#2005,0.393700787401575);
535#519=VECTOR('',#2006,0.393700787401575);
536#520=VECTOR('',#2007,0.393700787401575);
537#521=VECTOR('',#2010,0.393700787401575);
538#522=VECTOR('',#2011,0.393700787401575);
539#523=VECTOR('',#2012,0.393700787401575);
540#524=VECTOR('',#2015,0.393700787401575);
541#525=VECTOR('',#2016,0.393700787401575);
542#526=VECTOR('',#2017,0.393700787401575);
543#527=VECTOR('',#2020,0.393700787401575);
544#528=VECTOR('',#2021,0.393700787401575);
545#529=VECTOR('',#2022,0.393700787401575);
546#530=VECTOR('',#2025,0.393700787401575);
547#531=VECTOR('',#2026,0.393700787401575);
548#532=VECTOR('',#2027,0.393700787401575);
549#533=VECTOR('',#2030,0.393700787401575);
550#534=VECTOR('',#2031,0.393700787401575);
551#535=VECTOR('',#2036,0.393700787401575);
552#536=VECTOR('',#2037,0.393700787401575);
553#537=VECTOR('',#2038,0.393700787401575);
554#538=VECTOR('',#2039,0.393700787401575);
555#539=VECTOR('',#2040,0.393700787401575);
556#540=VECTOR('',#2043,0.393700787401575);
557#541=VECTOR('',#2044,0.393700787401575);
558#542=VECTOR('',#2045,0.393700787401575);
559#543=VECTOR('',#2048,0.393700787401575);
560#544=VECTOR('',#2049,0.393700787401575);
561#545=VECTOR('',#2052,0.393700787401575);
562#546=VECTOR('',#2053,0.393700787401575);
563#547=VECTOR('',#2056,0.393700787401575);
564#548=VECTOR('',#2057,0.393700787401575);
565#549=VECTOR('',#2060,0.393700787401575);
566#550=VECTOR('',#2063,0.393700787401575);
567#551=VECTOR('',#2064,0.393700787401575);
568#552=VECTOR('',#2065,0.393700787401575);
569#553=VECTOR('',#2066,0.393700787401575);
570#554=VECTOR('',#2069,0.393700787401575);
571#555=VECTOR('',#2070,0.393700787401575);
572#556=VECTOR('',#2071,0.393700787401575);
573#557=VECTOR('',#2074,0.393700787401575);
574#558=VECTOR('',#2075,0.393700787401575);
575#559=VECTOR('',#2076,0.393700787401575);
576#560=VECTOR('',#2079,0.393700787401575);
577#561=VECTOR('',#2080,0.393700787401575);
578#562=VECTOR('',#2081,0.393700787401575);
579#563=VECTOR('',#2084,0.393700787401575);
580#564=VECTOR('',#2085,0.393700787401575);
581#565=VECTOR('',#2086,0.393700787401575);
582#566=VECTOR('',#2089,0.393700787401575);
583#567=VECTOR('',#2090,0.393700787401575);
584#568=CIRCLE('',#1498,0.1);
585#569=CIRCLE('',#1499,0.1);
586#570=CIRCLE('',#1501,0.1);
587#571=CIRCLE('',#1502,0.1);
588#572=CIRCLE('',#1504,0.1);
589#573=CIRCLE('',#1505,0.1);
590#574=CIRCLE('',#1507,0.1);
591#575=CIRCLE('',#1508,0.1);
592#576=CIRCLE('',#1510,0.1);
593#577=CIRCLE('',#1511,0.1);
594#578=CIRCLE('',#1513,0.1);
595#579=CIRCLE('',#1514,0.1);
596#580=CIRCLE('',#1516,0.1);
597#581=CIRCLE('',#1517,0.1);
598#582=CIRCLE('',#1519,0.1);
599#583=CIRCLE('',#1520,0.1);
600#584=CIRCLE('',#1522,0.0689999999999992);
601#585=CIRCLE('',#1523,0.0689999999999992);
602#586=CIRCLE('',#1526,0.0689999999999992);
603#587=CIRCLE('',#1527,0.0689999999999992);
604#588=CIRCLE('',#1530,0.0689999999999992);
605#589=CIRCLE('',#1531,0.0689999999999992);
606#590=CIRCLE('',#1534,0.0689999999999992);
607#591=CIRCLE('',#1535,0.0689999999999992);
608#592=CIRCLE('',#1539,0.125);
609#593=CIRCLE('',#1540,0.125);
610#594=CIRCLE('',#1543,0.125);
611#595=CIRCLE('',#1544,0.125);
612#596=CIRCLE('',#1547,0.124999999999998);
613#597=CIRCLE('',#1548,0.124999999999998);
614#598=CIRCLE('',#1551,0.124999999999998);
615#599=CIRCLE('',#1552,0.124999999999998);
616#600=CIRCLE('',#1554,0.0689999999999992);
617#601=CIRCLE('',#1555,0.0689999999999992);
618#602=CIRCLE('',#1558,0.0689999999999992);
619#603=CIRCLE('',#1559,0.0689999999999992);
620#604=CIRCLE('',#1562,0.0689999999999992);
621#605=CIRCLE('',#1563,0.0689999999999992);
622#606=CIRCLE('',#1566,0.0689999999999992);
623#607=CIRCLE('',#1567,0.0689999999999992);
624#608=CIRCLE('',#1571,0.124999999999998);
625#609=CIRCLE('',#1572,0.124999999999998);
626#610=CIRCLE('',#1575,0.125);
627#611=CIRCLE('',#1576,0.125);
628#612=CIRCLE('',#1579,0.125);
629#613=CIRCLE('',#1580,0.125);
630#614=CIRCLE('',#1583,0.124999999999998);
631#615=CIRCLE('',#1584,0.124999999999998);
632#616=CIRCLE('',#1586,1.73);
633#617=CIRCLE('',#1587,1.73);
634#618=CIRCLE('',#1589,1.73);
635#619=CIRCLE('',#1590,1.73);
636#620=CIRCLE('',#1592,0.1985);
637#621=CIRCLE('',#1593,0.1985);
638#622=CIRCLE('',#1595,0.1985);
639#623=CIRCLE('',#1596,0.1985);
640#624=CIRCLE('',#1598,0.1985);
641#625=CIRCLE('',#1599,0.1985);
642#626=CIRCLE('',#1601,0.1985);
643#627=CIRCLE('',#1602,0.1985);
644#628=CIRCLE('',#1604,0.0799999999999996);
645#629=CIRCLE('',#1605,0.0799999999999996);
646#630=CIRCLE('',#1607,0.0799999999999996);
647#631=CIRCLE('',#1608,0.0799999999999996);
648#632=CIRCLE('',#1610,0.335);
649#633=CIRCLE('',#1611,0.335);
650#634=CIRCLE('',#1613,0.0799999999999996);
651#635=CIRCLE('',#1614,0.0799999999999996);
652#636=CIRCLE('',#1616,0.0799999999999996);
653#637=CIRCLE('',#1617,0.0799999999999996);
654#638=CIRCLE('',#1619,0.375);
655#639=CIRCLE('',#1620,0.375);
656#640=CIRCLE('',#1622,0.0799999999999996);
657#641=CIRCLE('',#1623,0.0799999999999996);
658#642=CIRCLE('',#1625,0.335);
659#643=CIRCLE('',#1626,0.335);
660#644=CIRCLE('',#1628,0.335);
661#645=CIRCLE('',#1629,0.335);
662#646=CIRCLE('',#1631,0.0799999999999996);
663#647=CIRCLE('',#1632,0.0799999999999996);
664#648=CIRCLE('',#1634,0.335);
665#649=CIRCLE('',#1635,0.335);
666#650=VERTEX_POINT('',#2097);
667#651=VERTEX_POINT('',#2099);
668#652=VERTEX_POINT('',#2103);
669#653=VERTEX_POINT('',#2105);
670#654=VERTEX_POINT('',#2109);
671#655=VERTEX_POINT('',#2111);
672#656=VERTEX_POINT('',#2115);
673#657=VERTEX_POINT('',#2117);
674#658=VERTEX_POINT('',#2121);
675#659=VERTEX_POINT('',#2123);
676#660=VERTEX_POINT('',#2127);
677#661=VERTEX_POINT('',#2129);
678#662=VERTEX_POINT('',#2133);
679#663=VERTEX_POINT('',#2135);
680#664=VERTEX_POINT('',#2139);
681#665=VERTEX_POINT('',#2141);
682#666=VERTEX_POINT('',#2145);
683#667=VERTEX_POINT('',#2147);
684#668=VERTEX_POINT('',#2152);
685#669=VERTEX_POINT('',#2154);
686#670=VERTEX_POINT('',#2159);
687#671=VERTEX_POINT('',#2161);
688#672=VERTEX_POINT('',#2166);
689#673=VERTEX_POINT('',#2168);
690#674=VERTEX_POINT('',#2173);
691#675=VERTEX_POINT('',#2174);
692#676=VERTEX_POINT('',#2176);
693#677=VERTEX_POINT('',#2178);
694#678=VERTEX_POINT('',#2182);
695#679=VERTEX_POINT('',#2184);
696#680=VERTEX_POINT('',#2188);
697#681=VERTEX_POINT('',#2190);
698#682=VERTEX_POINT('',#2194);
699#683=VERTEX_POINT('',#2196);
700#684=VERTEX_POINT('',#2200);
701#685=VERTEX_POINT('',#2202);
702#686=VERTEX_POINT('',#2206);
703#687=VERTEX_POINT('',#2208);
704#688=VERTEX_POINT('',#2212);
705#689=VERTEX_POINT('',#2214);
706#690=VERTEX_POINT('',#2221);
707#691=VERTEX_POINT('',#2223);
708#692=VERTEX_POINT('',#2228);
709#693=VERTEX_POINT('',#2230);
710#694=VERTEX_POINT('',#2235);
711#695=VERTEX_POINT('',#2237);
712#696=VERTEX_POINT('',#2242);
713#697=VERTEX_POINT('',#2244);
714#698=VERTEX_POINT('',#2249);
715#699=VERTEX_POINT('',#2250);
716#700=VERTEX_POINT('',#2252);
717#701=VERTEX_POINT('',#2254);
718#702=VERTEX_POINT('',#2258);
719#703=VERTEX_POINT('',#2260);
720#704=VERTEX_POINT('',#2264);
721#705=VERTEX_POINT('',#2266);
722#706=VERTEX_POINT('',#2270);
723#707=VERTEX_POINT('',#2272);
724#708=VERTEX_POINT('',#2276);
725#709=VERTEX_POINT('',#2278);
726#710=VERTEX_POINT('',#2282);
727#711=VERTEX_POINT('',#2284);
728#712=VERTEX_POINT('',#2288);
729#713=VERTEX_POINT('',#2290);
730#714=VERTEX_POINT('',#2297);
731#715=VERTEX_POINT('',#2299);
732#716=VERTEX_POINT('',#2303);
733#717=VERTEX_POINT('',#2305);
734#718=VERTEX_POINT('',#2309);
735#719=VERTEX_POINT('',#2311);
736#720=VERTEX_POINT('',#2315);
737#721=VERTEX_POINT('',#2317);
738#722=VERTEX_POINT('',#2321);
739#723=VERTEX_POINT('',#2323);
740#724=VERTEX_POINT('',#2327);
741#725=VERTEX_POINT('',#2329);
742#726=VERTEX_POINT('',#2333);
743#727=VERTEX_POINT('',#2335);
744#728=VERTEX_POINT('',#2339);
745#729=VERTEX_POINT('',#2341);
746#730=VERTEX_POINT('',#2345);
747#731=VERTEX_POINT('',#2347);
748#732=VERTEX_POINT('',#2351);
749#733=VERTEX_POINT('',#2353);
750#734=VERTEX_POINT('',#2357);
751#735=VERTEX_POINT('',#2359);
752#736=VERTEX_POINT('',#2363);
753#737=VERTEX_POINT('',#2365);
754#738=VERTEX_POINT('',#2369);
755#739=VERTEX_POINT('',#2371);
756#740=VERTEX_POINT('',#2375);
757#741=VERTEX_POINT('',#2377);
758#742=VERTEX_POINT('',#2381);
759#743=VERTEX_POINT('',#2383);
760#744=VERTEX_POINT('',#2387);
761#745=VERTEX_POINT('',#2389);
762#746=VERTEX_POINT('',#2393);
763#747=VERTEX_POINT('',#2395);
764#748=VERTEX_POINT('',#2399);
765#749=VERTEX_POINT('',#2400);
766#750=VERTEX_POINT('',#2402);
767#751=VERTEX_POINT('',#2404);
768#752=VERTEX_POINT('',#2408);
769#753=VERTEX_POINT('',#2410);
770#754=VERTEX_POINT('',#2414);
771#755=VERTEX_POINT('',#2416);
772#756=VERTEX_POINT('',#2420);
773#757=VERTEX_POINT('',#2422);
774#758=VERTEX_POINT('',#2426);
775#759=VERTEX_POINT('',#2428);
776#760=VERTEX_POINT('',#2436);
777#761=VERTEX_POINT('',#2437);
778#762=VERTEX_POINT('',#2439);
779#763=VERTEX_POINT('',#2441);
780#764=VERTEX_POINT('',#2443);
781#765=VERTEX_POINT('',#2447);
782#766=VERTEX_POINT('',#2449);
783#767=VERTEX_POINT('',#2453);
784#768=VERTEX_POINT('',#2457);
785#769=VERTEX_POINT('',#2461);
786#770=VERTEX_POINT('',#2467);
787#771=VERTEX_POINT('',#2468);
788#772=VERTEX_POINT('',#2470);
789#773=VERTEX_POINT('',#2472);
790#774=VERTEX_POINT('',#2476);
791#775=VERTEX_POINT('',#2478);
792#776=VERTEX_POINT('',#2482);
793#777=VERTEX_POINT('',#2484);
794#778=VERTEX_POINT('',#2488);
795#779=VERTEX_POINT('',#2490);
796#780=VERTEX_POINT('',#2494);
797#781=VERTEX_POINT('',#2496);
798#782=EDGE_CURVE('',#650,#650,#568,.T.);
799#783=EDGE_CURVE('',#650,#651,#336,.T.);
800#784=EDGE_CURVE('',#651,#651,#569,.T.);
801#785=EDGE_CURVE('',#652,#652,#570,.T.);
802#786=EDGE_CURVE('',#652,#653,#337,.T.);
803#787=EDGE_CURVE('',#653,#653,#571,.T.);
804#788=EDGE_CURVE('',#654,#654,#572,.T.);
805#789=EDGE_CURVE('',#654,#655,#338,.T.);
806#790=EDGE_CURVE('',#655,#655,#573,.T.);
807#791=EDGE_CURVE('',#656,#656,#574,.T.);
808#792=EDGE_CURVE('',#656,#657,#339,.T.);
809#793=EDGE_CURVE('',#657,#657,#575,.T.);
810#794=EDGE_CURVE('',#658,#658,#576,.T.);
811#795=EDGE_CURVE('',#658,#659,#340,.T.);
812#796=EDGE_CURVE('',#659,#659,#577,.T.);
813#797=EDGE_CURVE('',#660,#660,#578,.T.);
814#798=EDGE_CURVE('',#660,#661,#341,.T.);
815#799=EDGE_CURVE('',#661,#661,#579,.T.);
816#800=EDGE_CURVE('',#662,#662,#580,.T.);
817#801=EDGE_CURVE('',#662,#663,#342,.T.);
818#802=EDGE_CURVE('',#663,#663,#581,.T.);
819#803=EDGE_CURVE('',#664,#664,#582,.T.);
820#804=EDGE_CURVE('',#664,#665,#343,.T.);
821#805=EDGE_CURVE('',#665,#665,#583,.T.);
822#806=EDGE_CURVE('',#666,#666,#584,.T.);
823#807=EDGE_CURVE('',#666,#667,#344,.T.);
824#808=EDGE_CURVE('',#667,#667,#585,.T.);
825#809=EDGE_CURVE('',#668,#668,#586,.T.);
826#810=EDGE_CURVE('',#668,#669,#345,.T.);
827#811=EDGE_CURVE('',#669,#669,#587,.T.);
828#812=EDGE_CURVE('',#670,#670,#588,.T.);
829#813=EDGE_CURVE('',#670,#671,#346,.T.);
830#814=EDGE_CURVE('',#671,#671,#589,.T.);
831#815=EDGE_CURVE('',#672,#672,#590,.T.);
832#816=EDGE_CURVE('',#672,#673,#347,.T.);
833#817=EDGE_CURVE('',#673,#673,#591,.T.);
834#818=EDGE_CURVE('',#674,#675,#348,.T.);
835#819=EDGE_CURVE('',#676,#674,#349,.T.);
836#820=EDGE_CURVE('',#677,#676,#350,.T.);
837#821=EDGE_CURVE('',#677,#675,#351,.T.);
838#822=EDGE_CURVE('',#675,#678,#592,.T.);
839#823=EDGE_CURVE('',#679,#677,#593,.T.);
840#824=EDGE_CURVE('',#679,#678,#352,.T.);
841#825=EDGE_CURVE('',#678,#680,#353,.T.);
842#826=EDGE_CURVE('',#681,#679,#354,.T.);
843#827=EDGE_CURVE('',#681,#680,#355,.T.);
844#828=EDGE_CURVE('',#680,#682,#594,.T.);
845#829=EDGE_CURVE('',#683,#681,#595,.T.);
846#830=EDGE_CURVE('',#683,#682,#356,.T.);
847#831=EDGE_CURVE('',#682,#684,#357,.T.);
848#832=EDGE_CURVE('',#685,#683,#358,.T.);
849#833=EDGE_CURVE('',#685,#684,#359,.T.);
850#834=EDGE_CURVE('',#684,#686,#596,.T.);
851#835=EDGE_CURVE('',#687,#685,#597,.T.);
852#836=EDGE_CURVE('',#687,#686,#360,.T.);
853#837=EDGE_CURVE('',#686,#688,#361,.T.);
854#838=EDGE_CURVE('',#689,#687,#362,.T.);
855#839=EDGE_CURVE('',#689,#688,#363,.T.);
856#840=EDGE_CURVE('',#688,#674,#598,.T.);
857#841=EDGE_CURVE('',#676,#689,#599,.T.);
858#842=EDGE_CURVE('',#690,#690,#600,.T.);
859#843=EDGE_CURVE('',#690,#691,#364,.T.);
860#844=EDGE_CURVE('',#691,#691,#601,.T.);
861#845=EDGE_CURVE('',#692,#692,#602,.T.);
862#846=EDGE_CURVE('',#692,#693,#365,.T.);
863#847=EDGE_CURVE('',#693,#693,#603,.T.);
864#848=EDGE_CURVE('',#694,#694,#604,.T.);
865#849=EDGE_CURVE('',#694,#695,#366,.T.);
866#850=EDGE_CURVE('',#695,#695,#605,.T.);
867#851=EDGE_CURVE('',#696,#696,#606,.T.);
868#852=EDGE_CURVE('',#696,#697,#367,.T.);
869#853=EDGE_CURVE('',#697,#697,#607,.T.);
870#854=EDGE_CURVE('',#698,#699,#368,.T.);
871#855=EDGE_CURVE('',#700,#698,#369,.T.);
872#856=EDGE_CURVE('',#701,#700,#370,.T.);
873#857=EDGE_CURVE('',#701,#699,#371,.T.);
874#858=EDGE_CURVE('',#699,#702,#608,.T.);
875#859=EDGE_CURVE('',#703,#701,#609,.T.);
876#860=EDGE_CURVE('',#703,#702,#372,.T.);
877#861=EDGE_CURVE('',#702,#704,#373,.T.);
878#862=EDGE_CURVE('',#705,#703,#374,.T.);
879#863=EDGE_CURVE('',#705,#704,#375,.T.);
880#864=EDGE_CURVE('',#704,#706,#610,.T.);
881#865=EDGE_CURVE('',#707,#705,#611,.T.);
882#866=EDGE_CURVE('',#707,#706,#376,.T.);
883#867=EDGE_CURVE('',#706,#708,#377,.T.);
884#868=EDGE_CURVE('',#709,#707,#378,.T.);
885#869=EDGE_CURVE('',#709,#708,#379,.T.);
886#870=EDGE_CURVE('',#708,#710,#612,.T.);
887#871=EDGE_CURVE('',#711,#709,#613,.T.);
888#872=EDGE_CURVE('',#711,#710,#380,.T.);
889#873=EDGE_CURVE('',#710,#712,#381,.T.);
890#874=EDGE_CURVE('',#713,#711,#382,.T.);
891#875=EDGE_CURVE('',#713,#712,#383,.T.);
892#876=EDGE_CURVE('',#712,#698,#614,.T.);
893#877=EDGE_CURVE('',#700,#713,#615,.T.);
894#878=EDGE_CURVE('',#714,#714,#616,.T.);
895#879=EDGE_CURVE('',#714,#715,#384,.T.);
896#880=EDGE_CURVE('',#715,#715,#617,.T.);
897#881=EDGE_CURVE('',#716,#716,#618,.T.);
898#882=EDGE_CURVE('',#716,#717,#385,.T.);
899#883=EDGE_CURVE('',#717,#717,#619,.T.);
900#884=EDGE_CURVE('',#718,#718,#620,.T.);
901#885=EDGE_CURVE('',#718,#719,#386,.T.);
902#886=EDGE_CURVE('',#719,#719,#621,.T.);
903#887=EDGE_CURVE('',#720,#720,#622,.T.);
904#888=EDGE_CURVE('',#720,#721,#387,.T.);
905#889=EDGE_CURVE('',#721,#721,#623,.T.);
906#890=EDGE_CURVE('',#722,#722,#624,.T.);
907#891=EDGE_CURVE('',#722,#723,#388,.T.);
908#892=EDGE_CURVE('',#723,#723,#625,.T.);
909#893=EDGE_CURVE('',#724,#724,#626,.T.);
910#894=EDGE_CURVE('',#724,#725,#389,.T.);
911#895=EDGE_CURVE('',#725,#725,#627,.T.);
912#896=EDGE_CURVE('',#726,#726,#628,.T.);
913#897=EDGE_CURVE('',#726,#727,#390,.T.);
914#898=EDGE_CURVE('',#727,#727,#629,.T.);
915#899=EDGE_CURVE('',#728,#728,#630,.T.);
916#900=EDGE_CURVE('',#728,#729,#391,.T.);
917#901=EDGE_CURVE('',#729,#729,#631,.T.);
918#902=EDGE_CURVE('',#730,#730,#632,.T.);
919#903=EDGE_CURVE('',#730,#731,#392,.T.);
920#904=EDGE_CURVE('',#731,#731,#633,.T.);
921#905=EDGE_CURVE('',#732,#732,#634,.T.);
922#906=EDGE_CURVE('',#732,#733,#393,.T.);
923#907=EDGE_CURVE('',#733,#733,#635,.T.);
924#908=EDGE_CURVE('',#734,#734,#636,.T.);
925#909=EDGE_CURVE('',#734,#735,#394,.T.);
926#910=EDGE_CURVE('',#735,#735,#637,.T.);
927#911=EDGE_CURVE('',#736,#736,#638,.T.);
928#912=EDGE_CURVE('',#736,#737,#395,.T.);
929#913=EDGE_CURVE('',#737,#737,#639,.T.);
930#914=EDGE_CURVE('',#738,#738,#640,.T.);
931#915=EDGE_CURVE('',#738,#739,#396,.T.);
932#916=EDGE_CURVE('',#739,#739,#641,.T.);
933#917=EDGE_CURVE('',#740,#740,#642,.T.);
934#918=EDGE_CURVE('',#740,#741,#397,.T.);
935#919=EDGE_CURVE('',#741,#741,#643,.T.);
936#920=EDGE_CURVE('',#742,#742,#644,.T.);
937#921=EDGE_CURVE('',#742,#743,#398,.T.);
938#922=EDGE_CURVE('',#743,#743,#645,.T.);
939#923=EDGE_CURVE('',#744,#744,#646,.T.);
940#924=EDGE_CURVE('',#744,#745,#399,.T.);
941#925=EDGE_CURVE('',#745,#745,#647,.T.);
942#926=EDGE_CURVE('',#746,#746,#648,.T.);
943#927=EDGE_CURVE('',#746,#747,#400,.T.);
944#928=EDGE_CURVE('',#747,#747,#649,.T.);
945#929=EDGE_CURVE('',#748,#749,#401,.T.);
946#930=EDGE_CURVE('',#749,#750,#402,.F.);
947#931=EDGE_CURVE('',#750,#751,#403,.F.);
948#932=EDGE_CURVE('',#748,#751,#404,.T.);
949#933=EDGE_CURVE('',#749,#752,#405,.T.);
950#934=EDGE_CURVE('',#752,#753,#406,.F.);
951#935=EDGE_CURVE('',#753,#750,#407,.F.);
952#936=EDGE_CURVE('',#754,#752,#408,.F.);
953#937=EDGE_CURVE('',#754,#755,#409,.F.);
954#938=EDGE_CURVE('',#755,#753,#410,.F.);
955#939=EDGE_CURVE('',#756,#754,#411,.F.);
956#940=EDGE_CURVE('',#756,#757,#412,.F.);
957#941=EDGE_CURVE('',#757,#755,#413,.F.);
958#942=EDGE_CURVE('',#758,#756,#414,.F.);
959#943=EDGE_CURVE('',#758,#759,#415,.F.);
960#944=EDGE_CURVE('',#759,#757,#416,.F.);
961#945=EDGE_CURVE('',#748,#758,#417,.F.);
962#946=EDGE_CURVE('',#751,#759,#418,.F.);
963#947=EDGE_CURVE('',#760,#761,#419,.T.);
964#948=EDGE_CURVE('',#762,#760,#420,.T.);
965#949=EDGE_CURVE('',#763,#762,#421,.T.);
966#950=EDGE_CURVE('',#764,#763,#422,.T.);
967#951=EDGE_CURVE('',#761,#764,#423,.T.);
968#952=EDGE_CURVE('',#761,#765,#424,.T.);
969#953=EDGE_CURVE('',#766,#765,#425,.T.);
970#954=EDGE_CURVE('',#766,#760,#426,.F.);
971#955=EDGE_CURVE('',#767,#764,#427,.T.);
972#956=EDGE_CURVE('',#765,#767,#428,.T.);
973#957=EDGE_CURVE('',#768,#763,#429,.F.);
974#958=EDGE_CURVE('',#767,#768,#430,.T.);
975#959=EDGE_CURVE('',#769,#766,#431,.T.);
976#960=EDGE_CURVE('',#769,#762,#432,.F.);
977#961=EDGE_CURVE('',#768,#769,#433,.T.);
978#962=EDGE_CURVE('',#770,#771,#434,.T.);
979#963=EDGE_CURVE('',#770,#772,#435,.T.);
980#964=EDGE_CURVE('',#773,#772,#436,.T.);
981#965=EDGE_CURVE('',#771,#773,#437,.F.);
982#966=EDGE_CURVE('',#771,#774,#438,.T.);
983#967=EDGE_CURVE('',#775,#773,#439,.T.);
984#968=EDGE_CURVE('',#774,#775,#440,.T.);
985#969=EDGE_CURVE('',#776,#774,#441,.F.);
986#970=EDGE_CURVE('',#777,#775,#442,.T.);
987#971=EDGE_CURVE('',#776,#777,#443,.F.);
988#972=EDGE_CURVE('',#778,#776,#444,.T.);
989#973=EDGE_CURVE('',#779,#777,#445,.T.);
990#974=EDGE_CURVE('',#778,#779,#446,.T.);
991#975=EDGE_CURVE('',#780,#778,#447,.T.);
992#976=EDGE_CURVE('',#781,#779,#448,.T.);
993#977=EDGE_CURVE('',#780,#781,#449,.T.);
994#978=EDGE_CURVE('',#770,#780,#450,.T.);
995#979=EDGE_CURVE('',#772,#781,#451,.T.);
996#980=ORIENTED_EDGE('',*,*,#782,.F.);
997#981=ORIENTED_EDGE('',*,*,#783,.T.);
998#982=ORIENTED_EDGE('',*,*,#784,.F.);
999#983=ORIENTED_EDGE('',*,*,#783,.F.);
1000#984=ORIENTED_EDGE('',*,*,#785,.F.);
1001#985=ORIENTED_EDGE('',*,*,#786,.T.);
1002#986=ORIENTED_EDGE('',*,*,#787,.F.);
1003#987=ORIENTED_EDGE('',*,*,#786,.F.);
1004#988=ORIENTED_EDGE('',*,*,#788,.F.);
1005#989=ORIENTED_EDGE('',*,*,#789,.T.);
1006#990=ORIENTED_EDGE('',*,*,#790,.F.);
1007#991=ORIENTED_EDGE('',*,*,#789,.F.);
1008#992=ORIENTED_EDGE('',*,*,#791,.F.);
1009#993=ORIENTED_EDGE('',*,*,#792,.T.);
1010#994=ORIENTED_EDGE('',*,*,#793,.F.);
1011#995=ORIENTED_EDGE('',*,*,#792,.F.);
1012#996=ORIENTED_EDGE('',*,*,#794,.F.);
1013#997=ORIENTED_EDGE('',*,*,#795,.T.);
1014#998=ORIENTED_EDGE('',*,*,#796,.F.);
1015#999=ORIENTED_EDGE('',*,*,#795,.F.);
1016#1000=ORIENTED_EDGE('',*,*,#797,.F.);
1017#1001=ORIENTED_EDGE('',*,*,#798,.T.);
1018#1002=ORIENTED_EDGE('',*,*,#799,.F.);
1019#1003=ORIENTED_EDGE('',*,*,#798,.F.);
1020#1004=ORIENTED_EDGE('',*,*,#800,.F.);
1021#1005=ORIENTED_EDGE('',*,*,#801,.T.);
1022#1006=ORIENTED_EDGE('',*,*,#802,.F.);
1023#1007=ORIENTED_EDGE('',*,*,#801,.F.);
1024#1008=ORIENTED_EDGE('',*,*,#803,.F.);
1025#1009=ORIENTED_EDGE('',*,*,#804,.T.);
1026#1010=ORIENTED_EDGE('',*,*,#805,.F.);
1027#1011=ORIENTED_EDGE('',*,*,#804,.F.);
1028#1012=ORIENTED_EDGE('',*,*,#806,.F.);
1029#1013=ORIENTED_EDGE('',*,*,#807,.T.);
1030#1014=ORIENTED_EDGE('',*,*,#808,.F.);
1031#1015=ORIENTED_EDGE('',*,*,#807,.F.);
1032#1016=ORIENTED_EDGE('',*,*,#806,.T.);
1033#1017=ORIENTED_EDGE('',*,*,#809,.F.);
1034#1018=ORIENTED_EDGE('',*,*,#810,.T.);
1035#1019=ORIENTED_EDGE('',*,*,#811,.F.);
1036#1020=ORIENTED_EDGE('',*,*,#810,.F.);
1037#1021=ORIENTED_EDGE('',*,*,#809,.T.);
1038#1022=ORIENTED_EDGE('',*,*,#812,.F.);
1039#1023=ORIENTED_EDGE('',*,*,#813,.T.);
1040#1024=ORIENTED_EDGE('',*,*,#814,.F.);
1041#1025=ORIENTED_EDGE('',*,*,#813,.F.);
1042#1026=ORIENTED_EDGE('',*,*,#812,.T.);
1043#1027=ORIENTED_EDGE('',*,*,#815,.F.);
1044#1028=ORIENTED_EDGE('',*,*,#816,.T.);
1045#1029=ORIENTED_EDGE('',*,*,#817,.F.);
1046#1030=ORIENTED_EDGE('',*,*,#816,.F.);
1047#1031=ORIENTED_EDGE('',*,*,#815,.T.);
1048#1032=ORIENTED_EDGE('',*,*,#818,.F.);
1049#1033=ORIENTED_EDGE('',*,*,#819,.F.);
1050#1034=ORIENTED_EDGE('',*,*,#820,.F.);
1051#1035=ORIENTED_EDGE('',*,*,#821,.T.);
1052#1036=ORIENTED_EDGE('',*,*,#822,.F.);
1053#1037=ORIENTED_EDGE('',*,*,#821,.F.);
1054#1038=ORIENTED_EDGE('',*,*,#823,.F.);
1055#1039=ORIENTED_EDGE('',*,*,#824,.T.);
1056#1040=ORIENTED_EDGE('',*,*,#825,.F.);
1057#1041=ORIENTED_EDGE('',*,*,#824,.F.);
1058#1042=ORIENTED_EDGE('',*,*,#826,.F.);
1059#1043=ORIENTED_EDGE('',*,*,#827,.T.);
1060#1044=ORIENTED_EDGE('',*,*,#828,.F.);
1061#1045=ORIENTED_EDGE('',*,*,#827,.F.);
1062#1046=ORIENTED_EDGE('',*,*,#829,.F.);
1063#1047=ORIENTED_EDGE('',*,*,#830,.T.);
1064#1048=ORIENTED_EDGE('',*,*,#831,.F.);
1065#1049=ORIENTED_EDGE('',*,*,#830,.F.);
1066#1050=ORIENTED_EDGE('',*,*,#832,.F.);
1067#1051=ORIENTED_EDGE('',*,*,#833,.T.);
1068#1052=ORIENTED_EDGE('',*,*,#834,.F.);
1069#1053=ORIENTED_EDGE('',*,*,#833,.F.);
1070#1054=ORIENTED_EDGE('',*,*,#835,.F.);
1071#1055=ORIENTED_EDGE('',*,*,#836,.T.);
1072#1056=ORIENTED_EDGE('',*,*,#837,.F.);
1073#1057=ORIENTED_EDGE('',*,*,#836,.F.);
1074#1058=ORIENTED_EDGE('',*,*,#838,.F.);
1075#1059=ORIENTED_EDGE('',*,*,#839,.T.);
1076#1060=ORIENTED_EDGE('',*,*,#840,.F.);
1077#1061=ORIENTED_EDGE('',*,*,#839,.F.);
1078#1062=ORIENTED_EDGE('',*,*,#841,.F.);
1079#1063=ORIENTED_EDGE('',*,*,#819,.T.);
1080#1064=ORIENTED_EDGE('',*,*,#842,.F.);
1081#1065=ORIENTED_EDGE('',*,*,#843,.T.);
1082#1066=ORIENTED_EDGE('',*,*,#844,.F.);
1083#1067=ORIENTED_EDGE('',*,*,#843,.F.);
1084#1068=ORIENTED_EDGE('',*,*,#842,.T.);
1085#1069=ORIENTED_EDGE('',*,*,#845,.F.);
1086#1070=ORIENTED_EDGE('',*,*,#846,.T.);
1087#1071=ORIENTED_EDGE('',*,*,#847,.F.);
1088#1072=ORIENTED_EDGE('',*,*,#846,.F.);
1089#1073=ORIENTED_EDGE('',*,*,#845,.T.);
1090#1074=ORIENTED_EDGE('',*,*,#848,.F.);
1091#1075=ORIENTED_EDGE('',*,*,#849,.T.);
1092#1076=ORIENTED_EDGE('',*,*,#850,.F.);
1093#1077=ORIENTED_EDGE('',*,*,#849,.F.);
1094#1078=ORIENTED_EDGE('',*,*,#848,.T.);
1095#1079=ORIENTED_EDGE('',*,*,#851,.F.);
1096#1080=ORIENTED_EDGE('',*,*,#852,.T.);
1097#1081=ORIENTED_EDGE('',*,*,#853,.F.);
1098#1082=ORIENTED_EDGE('',*,*,#852,.F.);
1099#1083=ORIENTED_EDGE('',*,*,#851,.T.);
1100#1084=ORIENTED_EDGE('',*,*,#854,.F.);
1101#1085=ORIENTED_EDGE('',*,*,#855,.F.);
1102#1086=ORIENTED_EDGE('',*,*,#856,.F.);
1103#1087=ORIENTED_EDGE('',*,*,#857,.T.);
1104#1088=ORIENTED_EDGE('',*,*,#858,.F.);
1105#1089=ORIENTED_EDGE('',*,*,#857,.F.);
1106#1090=ORIENTED_EDGE('',*,*,#859,.F.);
1107#1091=ORIENTED_EDGE('',*,*,#860,.T.);
1108#1092=ORIENTED_EDGE('',*,*,#861,.F.);
1109#1093=ORIENTED_EDGE('',*,*,#860,.F.);
1110#1094=ORIENTED_EDGE('',*,*,#862,.F.);
1111#1095=ORIENTED_EDGE('',*,*,#863,.T.);
1112#1096=ORIENTED_EDGE('',*,*,#864,.F.);
1113#1097=ORIENTED_EDGE('',*,*,#863,.F.);
1114#1098=ORIENTED_EDGE('',*,*,#865,.F.);
1115#1099=ORIENTED_EDGE('',*,*,#866,.T.);
1116#1100=ORIENTED_EDGE('',*,*,#867,.F.);
1117#1101=ORIENTED_EDGE('',*,*,#866,.F.);
1118#1102=ORIENTED_EDGE('',*,*,#868,.F.);
1119#1103=ORIENTED_EDGE('',*,*,#869,.T.);
1120#1104=ORIENTED_EDGE('',*,*,#870,.F.);
1121#1105=ORIENTED_EDGE('',*,*,#869,.F.);
1122#1106=ORIENTED_EDGE('',*,*,#871,.F.);
1123#1107=ORIENTED_EDGE('',*,*,#872,.T.);
1124#1108=ORIENTED_EDGE('',*,*,#873,.F.);
1125#1109=ORIENTED_EDGE('',*,*,#872,.F.);
1126#1110=ORIENTED_EDGE('',*,*,#874,.F.);
1127#1111=ORIENTED_EDGE('',*,*,#875,.T.);
1128#1112=ORIENTED_EDGE('',*,*,#876,.F.);
1129#1113=ORIENTED_EDGE('',*,*,#875,.F.);
1130#1114=ORIENTED_EDGE('',*,*,#877,.F.);
1131#1115=ORIENTED_EDGE('',*,*,#855,.T.);
1132#1116=ORIENTED_EDGE('',*,*,#878,.F.);
1133#1117=ORIENTED_EDGE('',*,*,#879,.T.);
1134#1118=ORIENTED_EDGE('',*,*,#880,.F.);
1135#1119=ORIENTED_EDGE('',*,*,#879,.F.);
1136#1120=ORIENTED_EDGE('',*,*,#881,.F.);
1137#1121=ORIENTED_EDGE('',*,*,#882,.T.);
1138#1122=ORIENTED_EDGE('',*,*,#883,.F.);
1139#1123=ORIENTED_EDGE('',*,*,#882,.F.);
1140#1124=ORIENTED_EDGE('',*,*,#884,.F.);
1141#1125=ORIENTED_EDGE('',*,*,#885,.T.);
1142#1126=ORIENTED_EDGE('',*,*,#886,.F.);
1143#1127=ORIENTED_EDGE('',*,*,#885,.F.);
1144#1128=ORIENTED_EDGE('',*,*,#887,.F.);
1145#1129=ORIENTED_EDGE('',*,*,#888,.T.);
1146#1130=ORIENTED_EDGE('',*,*,#889,.F.);
1147#1131=ORIENTED_EDGE('',*,*,#888,.F.);
1148#1132=ORIENTED_EDGE('',*,*,#890,.F.);
1149#1133=ORIENTED_EDGE('',*,*,#891,.T.);
1150#1134=ORIENTED_EDGE('',*,*,#892,.F.);
1151#1135=ORIENTED_EDGE('',*,*,#891,.F.);
1152#1136=ORIENTED_EDGE('',*,*,#893,.F.);
1153#1137=ORIENTED_EDGE('',*,*,#894,.T.);
1154#1138=ORIENTED_EDGE('',*,*,#895,.F.);
1155#1139=ORIENTED_EDGE('',*,*,#894,.F.);
1156#1140=ORIENTED_EDGE('',*,*,#896,.F.);
1157#1141=ORIENTED_EDGE('',*,*,#897,.T.);
1158#1142=ORIENTED_EDGE('',*,*,#898,.F.);
1159#1143=ORIENTED_EDGE('',*,*,#897,.F.);
1160#1144=ORIENTED_EDGE('',*,*,#899,.F.);
1161#1145=ORIENTED_EDGE('',*,*,#900,.T.);
1162#1146=ORIENTED_EDGE('',*,*,#901,.F.);
1163#1147=ORIENTED_EDGE('',*,*,#900,.F.);
1164#1148=ORIENTED_EDGE('',*,*,#902,.F.);
1165#1149=ORIENTED_EDGE('',*,*,#903,.T.);
1166#1150=ORIENTED_EDGE('',*,*,#904,.F.);
1167#1151=ORIENTED_EDGE('',*,*,#903,.F.);
1168#1152=ORIENTED_EDGE('',*,*,#905,.F.);
1169#1153=ORIENTED_EDGE('',*,*,#906,.T.);
1170#1154=ORIENTED_EDGE('',*,*,#907,.F.);
1171#1155=ORIENTED_EDGE('',*,*,#906,.F.);
1172#1156=ORIENTED_EDGE('',*,*,#908,.F.);
1173#1157=ORIENTED_EDGE('',*,*,#909,.T.);
1174#1158=ORIENTED_EDGE('',*,*,#910,.F.);
1175#1159=ORIENTED_EDGE('',*,*,#909,.F.);
1176#1160=ORIENTED_EDGE('',*,*,#911,.F.);
1177#1161=ORIENTED_EDGE('',*,*,#912,.T.);
1178#1162=ORIENTED_EDGE('',*,*,#913,.F.);
1179#1163=ORIENTED_EDGE('',*,*,#912,.F.);
1180#1164=ORIENTED_EDGE('',*,*,#914,.F.);
1181#1165=ORIENTED_EDGE('',*,*,#915,.T.);
1182#1166=ORIENTED_EDGE('',*,*,#916,.F.);
1183#1167=ORIENTED_EDGE('',*,*,#915,.F.);
1184#1168=ORIENTED_EDGE('',*,*,#917,.F.);
1185#1169=ORIENTED_EDGE('',*,*,#918,.T.);
1186#1170=ORIENTED_EDGE('',*,*,#919,.F.);
1187#1171=ORIENTED_EDGE('',*,*,#918,.F.);
1188#1172=ORIENTED_EDGE('',*,*,#920,.F.);
1189#1173=ORIENTED_EDGE('',*,*,#921,.T.);
1190#1174=ORIENTED_EDGE('',*,*,#922,.F.);
1191#1175=ORIENTED_EDGE('',*,*,#921,.F.);
1192#1176=ORIENTED_EDGE('',*,*,#923,.F.);
1193#1177=ORIENTED_EDGE('',*,*,#924,.T.);
1194#1178=ORIENTED_EDGE('',*,*,#925,.F.);
1195#1179=ORIENTED_EDGE('',*,*,#924,.F.);
1196#1180=ORIENTED_EDGE('',*,*,#926,.F.);
1197#1181=ORIENTED_EDGE('',*,*,#927,.T.);
1198#1182=ORIENTED_EDGE('',*,*,#928,.F.);
1199#1183=ORIENTED_EDGE('',*,*,#927,.F.);
1200#1184=ORIENTED_EDGE('',*,*,#929,.T.);
1201#1185=ORIENTED_EDGE('',*,*,#930,.T.);
1202#1186=ORIENTED_EDGE('',*,*,#931,.T.);
1203#1187=ORIENTED_EDGE('',*,*,#932,.F.);
1204#1188=ORIENTED_EDGE('',*,*,#808,.T.);
1205#1189=ORIENTED_EDGE('',*,*,#811,.T.);
1206#1190=ORIENTED_EDGE('',*,*,#814,.T.);
1207#1191=ORIENTED_EDGE('',*,*,#817,.T.);
1208#1192=ORIENTED_EDGE('',*,*,#818,.T.);
1209#1193=ORIENTED_EDGE('',*,*,#822,.T.);
1210#1194=ORIENTED_EDGE('',*,*,#825,.T.);
1211#1195=ORIENTED_EDGE('',*,*,#828,.T.);
1212#1196=ORIENTED_EDGE('',*,*,#831,.T.);
1213#1197=ORIENTED_EDGE('',*,*,#834,.T.);
1214#1198=ORIENTED_EDGE('',*,*,#837,.T.);
1215#1199=ORIENTED_EDGE('',*,*,#840,.T.);
1216#1200=ORIENTED_EDGE('',*,*,#933,.T.);
1217#1201=ORIENTED_EDGE('',*,*,#934,.T.);
1218#1202=ORIENTED_EDGE('',*,*,#935,.T.);
1219#1203=ORIENTED_EDGE('',*,*,#930,.F.);
1220#1204=ORIENTED_EDGE('',*,*,#936,.F.);
1221#1205=ORIENTED_EDGE('',*,*,#937,.T.);
1222#1206=ORIENTED_EDGE('',*,*,#938,.T.);
1223#1207=ORIENTED_EDGE('',*,*,#934,.F.);
1224#1208=ORIENTED_EDGE('',*,*,#844,.T.);
1225#1209=ORIENTED_EDGE('',*,*,#847,.T.);
1226#1210=ORIENTED_EDGE('',*,*,#850,.T.);
1227#1211=ORIENTED_EDGE('',*,*,#853,.T.);
1228#1212=ORIENTED_EDGE('',*,*,#854,.T.);
1229#1213=ORIENTED_EDGE('',*,*,#858,.T.);
1230#1214=ORIENTED_EDGE('',*,*,#861,.T.);
1231#1215=ORIENTED_EDGE('',*,*,#864,.T.);
1232#1216=ORIENTED_EDGE('',*,*,#867,.T.);
1233#1217=ORIENTED_EDGE('',*,*,#870,.T.);
1234#1218=ORIENTED_EDGE('',*,*,#873,.T.);
1235#1219=ORIENTED_EDGE('',*,*,#876,.T.);
1236#1220=ORIENTED_EDGE('',*,*,#939,.F.);
1237#1221=ORIENTED_EDGE('',*,*,#940,.T.);
1238#1222=ORIENTED_EDGE('',*,*,#941,.T.);
1239#1223=ORIENTED_EDGE('',*,*,#937,.F.);
1240#1224=ORIENTED_EDGE('',*,*,#883,.T.);
1241#1225=ORIENTED_EDGE('',*,*,#942,.F.);
1242#1226=ORIENTED_EDGE('',*,*,#943,.T.);
1243#1227=ORIENTED_EDGE('',*,*,#944,.T.);
1244#1228=ORIENTED_EDGE('',*,*,#940,.F.);
1245#1229=ORIENTED_EDGE('',*,*,#886,.T.);
1246#1230=ORIENTED_EDGE('',*,*,#889,.T.);
1247#1231=ORIENTED_EDGE('',*,*,#892,.T.);
1248#1232=ORIENTED_EDGE('',*,*,#895,.T.);
1249#1233=ORIENTED_EDGE('',*,*,#945,.F.);
1250#1234=ORIENTED_EDGE('',*,*,#932,.T.);
1251#1235=ORIENTED_EDGE('',*,*,#946,.T.);
1252#1236=ORIENTED_EDGE('',*,*,#943,.F.);
1253#1237=ORIENTED_EDGE('',*,*,#878,.T.);
1254#1238=ORIENTED_EDGE('',*,*,#946,.F.);
1255#1239=ORIENTED_EDGE('',*,*,#931,.F.);
1256#1240=ORIENTED_EDGE('',*,*,#935,.F.);
1257#1241=ORIENTED_EDGE('',*,*,#938,.F.);
1258#1242=ORIENTED_EDGE('',*,*,#941,.F.);
1259#1243=ORIENTED_EDGE('',*,*,#944,.F.);
1260#1244=ORIENTED_EDGE('',*,*,#898,.T.);
1261#1245=ORIENTED_EDGE('',*,*,#901,.T.);
1262#1246=ORIENTED_EDGE('',*,*,#904,.T.);
1263#1247=ORIENTED_EDGE('',*,*,#907,.T.);
1264#1248=ORIENTED_EDGE('',*,*,#910,.T.);
1265#1249=ORIENTED_EDGE('',*,*,#913,.T.);
1266#1250=ORIENTED_EDGE('',*,*,#916,.T.);
1267#1251=ORIENTED_EDGE('',*,*,#919,.T.);
1268#1252=ORIENTED_EDGE('',*,*,#922,.T.);
1269#1253=ORIENTED_EDGE('',*,*,#925,.T.);
1270#1254=ORIENTED_EDGE('',*,*,#928,.T.);
1271#1255=ORIENTED_EDGE('',*,*,#945,.T.);
1272#1256=ORIENTED_EDGE('',*,*,#942,.T.);
1273#1257=ORIENTED_EDGE('',*,*,#939,.T.);
1274#1258=ORIENTED_EDGE('',*,*,#936,.T.);
1275#1259=ORIENTED_EDGE('',*,*,#933,.F.);
1276#1260=ORIENTED_EDGE('',*,*,#929,.F.);
1277#1261=ORIENTED_EDGE('',*,*,#784,.T.);
1278#1262=ORIENTED_EDGE('',*,*,#787,.T.);
1279#1263=ORIENTED_EDGE('',*,*,#790,.T.);
1280#1264=ORIENTED_EDGE('',*,*,#793,.T.);
1281#1265=ORIENTED_EDGE('',*,*,#796,.T.);
1282#1266=ORIENTED_EDGE('',*,*,#799,.T.);
1283#1267=ORIENTED_EDGE('',*,*,#802,.T.);
1284#1268=ORIENTED_EDGE('',*,*,#805,.T.);
1285#1269=ORIENTED_EDGE('',*,*,#947,.F.);
1286#1270=ORIENTED_EDGE('',*,*,#948,.F.);
1287#1271=ORIENTED_EDGE('',*,*,#949,.F.);
1288#1272=ORIENTED_EDGE('',*,*,#950,.F.);
1289#1273=ORIENTED_EDGE('',*,*,#951,.F.);
1290#1274=ORIENTED_EDGE('',*,*,#947,.T.);
1291#1275=ORIENTED_EDGE('',*,*,#952,.T.);
1292#1276=ORIENTED_EDGE('',*,*,#953,.F.);
1293#1277=ORIENTED_EDGE('',*,*,#954,.T.);
1294#1278=ORIENTED_EDGE('',*,*,#951,.T.);
1295#1279=ORIENTED_EDGE('',*,*,#955,.F.);
1296#1280=ORIENTED_EDGE('',*,*,#956,.F.);
1297#1281=ORIENTED_EDGE('',*,*,#952,.F.);
1298#1282=ORIENTED_EDGE('',*,*,#950,.T.);
1299#1283=ORIENTED_EDGE('',*,*,#957,.F.);
1300#1284=ORIENTED_EDGE('',*,*,#958,.F.);
1301#1285=ORIENTED_EDGE('',*,*,#955,.T.);
1302#1286=ORIENTED_EDGE('',*,*,#948,.T.);
1303#1287=ORIENTED_EDGE('',*,*,#954,.F.);
1304#1288=ORIENTED_EDGE('',*,*,#959,.F.);
1305#1289=ORIENTED_EDGE('',*,*,#960,.T.);
1306#1290=ORIENTED_EDGE('',*,*,#949,.T.);
1307#1291=ORIENTED_EDGE('',*,*,#960,.F.);
1308#1292=ORIENTED_EDGE('',*,*,#961,.F.);
1309#1293=ORIENTED_EDGE('',*,*,#957,.T.);
1310#1294=ORIENTED_EDGE('',*,*,#962,.F.);
1311#1295=ORIENTED_EDGE('',*,*,#963,.T.);
1312#1296=ORIENTED_EDGE('',*,*,#964,.F.);
1313#1297=ORIENTED_EDGE('',*,*,#965,.F.);
1314#1298=ORIENTED_EDGE('',*,*,#820,.T.);
1315#1299=ORIENTED_EDGE('',*,*,#841,.T.);
1316#1300=ORIENTED_EDGE('',*,*,#838,.T.);
1317#1301=ORIENTED_EDGE('',*,*,#835,.T.);
1318#1302=ORIENTED_EDGE('',*,*,#832,.T.);
1319#1303=ORIENTED_EDGE('',*,*,#829,.T.);
1320#1304=ORIENTED_EDGE('',*,*,#826,.T.);
1321#1305=ORIENTED_EDGE('',*,*,#823,.T.);
1322#1306=ORIENTED_EDGE('',*,*,#966,.F.);
1323#1307=ORIENTED_EDGE('',*,*,#965,.T.);
1324#1308=ORIENTED_EDGE('',*,*,#967,.F.);
1325#1309=ORIENTED_EDGE('',*,*,#968,.F.);
1326#1310=ORIENTED_EDGE('',*,*,#969,.T.);
1327#1311=ORIENTED_EDGE('',*,*,#968,.T.);
1328#1312=ORIENTED_EDGE('',*,*,#970,.F.);
1329#1313=ORIENTED_EDGE('',*,*,#971,.F.);
1330#1314=ORIENTED_EDGE('',*,*,#856,.T.);
1331#1315=ORIENTED_EDGE('',*,*,#877,.T.);
1332#1316=ORIENTED_EDGE('',*,*,#874,.T.);
1333#1317=ORIENTED_EDGE('',*,*,#871,.T.);
1334#1318=ORIENTED_EDGE('',*,*,#868,.T.);
1335#1319=ORIENTED_EDGE('',*,*,#865,.T.);
1336#1320=ORIENTED_EDGE('',*,*,#862,.T.);
1337#1321=ORIENTED_EDGE('',*,*,#859,.T.);
1338#1322=ORIENTED_EDGE('',*,*,#972,.T.);
1339#1323=ORIENTED_EDGE('',*,*,#971,.T.);
1340#1324=ORIENTED_EDGE('',*,*,#973,.F.);
1341#1325=ORIENTED_EDGE('',*,*,#974,.F.);
1342#1326=ORIENTED_EDGE('',*,*,#881,.T.);
1343#1327=ORIENTED_EDGE('',*,*,#975,.T.);
1344#1328=ORIENTED_EDGE('',*,*,#974,.T.);
1345#1329=ORIENTED_EDGE('',*,*,#976,.F.);
1346#1330=ORIENTED_EDGE('',*,*,#977,.F.);
1347#1331=ORIENTED_EDGE('',*,*,#884,.T.);
1348#1332=ORIENTED_EDGE('',*,*,#887,.T.);
1349#1333=ORIENTED_EDGE('',*,*,#890,.T.);
1350#1334=ORIENTED_EDGE('',*,*,#893,.T.);
1351#1335=ORIENTED_EDGE('',*,*,#978,.T.);
1352#1336=ORIENTED_EDGE('',*,*,#977,.T.);
1353#1337=ORIENTED_EDGE('',*,*,#979,.F.);
1354#1338=ORIENTED_EDGE('',*,*,#963,.F.);
1355#1339=ORIENTED_EDGE('',*,*,#880,.T.);
1356#1340=ORIENTED_EDGE('',*,*,#979,.T.);
1357#1341=ORIENTED_EDGE('',*,*,#976,.T.);
1358#1342=ORIENTED_EDGE('',*,*,#973,.T.);
1359#1343=ORIENTED_EDGE('',*,*,#970,.T.);
1360#1344=ORIENTED_EDGE('',*,*,#967,.T.);
1361#1345=ORIENTED_EDGE('',*,*,#964,.T.);
1362#1346=ORIENTED_EDGE('',*,*,#896,.T.);
1363#1347=ORIENTED_EDGE('',*,*,#899,.T.);
1364#1348=ORIENTED_EDGE('',*,*,#902,.T.);
1365#1349=ORIENTED_EDGE('',*,*,#905,.T.);
1366#1350=ORIENTED_EDGE('',*,*,#908,.T.);
1367#1351=ORIENTED_EDGE('',*,*,#911,.T.);
1368#1352=ORIENTED_EDGE('',*,*,#914,.T.);
1369#1353=ORIENTED_EDGE('',*,*,#917,.T.);
1370#1354=ORIENTED_EDGE('',*,*,#920,.T.);
1371#1355=ORIENTED_EDGE('',*,*,#923,.T.);
1372#1356=ORIENTED_EDGE('',*,*,#926,.T.);
1373#1357=ORIENTED_EDGE('',*,*,#978,.F.);
1374#1358=ORIENTED_EDGE('',*,*,#962,.T.);
1375#1359=ORIENTED_EDGE('',*,*,#966,.T.);
1376#1360=ORIENTED_EDGE('',*,*,#969,.F.);
1377#1361=ORIENTED_EDGE('',*,*,#972,.F.);
1378#1362=ORIENTED_EDGE('',*,*,#975,.F.);
1379#1363=ORIENTED_EDGE('',*,*,#782,.T.);
1380#1364=ORIENTED_EDGE('',*,*,#785,.T.);
1381#1365=ORIENTED_EDGE('',*,*,#788,.T.);
1382#1366=ORIENTED_EDGE('',*,*,#791,.T.);
1383#1367=ORIENTED_EDGE('',*,*,#794,.T.);
1384#1368=ORIENTED_EDGE('',*,*,#797,.T.);
1385#1369=ORIENTED_EDGE('',*,*,#800,.T.);
1386#1370=ORIENTED_EDGE('',*,*,#803,.T.);
1387#1371=ORIENTED_EDGE('',*,*,#953,.T.);
1388#1372=ORIENTED_EDGE('',*,*,#956,.T.);
1389#1373=ORIENTED_EDGE('',*,*,#958,.T.);
1390#1374=ORIENTED_EDGE('',*,*,#961,.T.);
1391#1375=ORIENTED_EDGE('',*,*,#959,.T.);
1392#1376=CYLINDRICAL_SURFACE('',#1497,0.1);
1393#1377=CYLINDRICAL_SURFACE('',#1500,0.1);
1394#1378=CYLINDRICAL_SURFACE('',#1503,0.1);
1395#1379=CYLINDRICAL_SURFACE('',#1506,0.1);
1396#1380=CYLINDRICAL_SURFACE('',#1509,0.1);
1397#1381=CYLINDRICAL_SURFACE('',#1512,0.1);
1398#1382=CYLINDRICAL_SURFACE('',#1515,0.1);
1399#1383=CYLINDRICAL_SURFACE('',#1518,0.1);
1400#1384=CYLINDRICAL_SURFACE('',#1521,0.0689999999999992);
1401#1385=CYLINDRICAL_SURFACE('',#1525,0.0689999999999992);
1402#1386=CYLINDRICAL_SURFACE('',#1529,0.0689999999999992);
1403#1387=CYLINDRICAL_SURFACE('',#1533,0.0689999999999992);
1404#1388=CYLINDRICAL_SURFACE('',#1538,0.125);
1405#1389=CYLINDRICAL_SURFACE('',#1542,0.125);
1406#1390=CYLINDRICAL_SURFACE('',#1546,0.124999999999998);
1407#1391=CYLINDRICAL_SURFACE('',#1550,0.124999999999998);
1408#1392=CYLINDRICAL_SURFACE('',#1553,0.0689999999999992);
1409#1393=CYLINDRICAL_SURFACE('',#1557,0.0689999999999992);
1410#1394=CYLINDRICAL_SURFACE('',#1561,0.0689999999999992);
1411#1395=CYLINDRICAL_SURFACE('',#1565,0.0689999999999992);
1412#1396=CYLINDRICAL_SURFACE('',#1570,0.124999999999998);
1413#1397=CYLINDRICAL_SURFACE('',#1574,0.125);
1414#1398=CYLINDRICAL_SURFACE('',#1578,0.125);
1415#1399=CYLINDRICAL_SURFACE('',#1582,0.124999999999998);
1416#1400=CYLINDRICAL_SURFACE('',#1585,1.73);
1417#1401=CYLINDRICAL_SURFACE('',#1588,1.73);
1418#1402=CYLINDRICAL_SURFACE('',#1591,0.1985);
1419#1403=CYLINDRICAL_SURFACE('',#1594,0.1985);
1420#1404=CYLINDRICAL_SURFACE('',#1597,0.1985);
1421#1405=CYLINDRICAL_SURFACE('',#1600,0.1985);
1422#1406=CYLINDRICAL_SURFACE('',#1603,0.0799999999999996);
1423#1407=CYLINDRICAL_SURFACE('',#1606,0.0799999999999996);
1424#1408=CYLINDRICAL_SURFACE('',#1609,0.335);
1425#1409=CYLINDRICAL_SURFACE('',#1612,0.0799999999999996);
1426#1410=CYLINDRICAL_SURFACE('',#1615,0.0799999999999996);
1427#1411=CYLINDRICAL_SURFACE('',#1618,0.375);
1428#1412=CYLINDRICAL_SURFACE('',#1621,0.0799999999999996);
1429#1413=CYLINDRICAL_SURFACE('',#1624,0.335);
1430#1414=CYLINDRICAL_SURFACE('',#1627,0.335);
1431#1415=CYLINDRICAL_SURFACE('',#1630,0.0799999999999996);
1432#1416=CYLINDRICAL_SURFACE('',#1633,0.335);
1433#1417=ADVANCED_FACE('',(#116),#1376,.F.);
1434#1418=ADVANCED_FACE('',(#117),#1377,.F.);
1435#1419=ADVANCED_FACE('',(#118),#1378,.F.);
1436#1420=ADVANCED_FACE('',(#119),#1379,.F.);
1437#1421=ADVANCED_FACE('',(#120),#1380,.F.);
1438#1422=ADVANCED_FACE('',(#121),#1381,.F.);
1439#1423=ADVANCED_FACE('',(#122),#1382,.F.);
1440#1424=ADVANCED_FACE('',(#123),#1383,.F.);
1441#1425=ADVANCED_FACE('',(#124),#1384,.T.);
1442#1426=ADVANCED_FACE('',(#125),#79,.F.);
1443#1427=ADVANCED_FACE('',(#126),#1385,.T.);
1444#1428=ADVANCED_FACE('',(#127),#80,.F.);
1445#1429=ADVANCED_FACE('',(#128),#1386,.T.);
1446#1430=ADVANCED_FACE('',(#129),#81,.F.);
1447#1431=ADVANCED_FACE('',(#130),#1387,.T.);
1448#1432=ADVANCED_FACE('',(#131),#82,.F.);
1449#1433=ADVANCED_FACE('',(#132),#83,.F.);
1450#1434=ADVANCED_FACE('',(#133),#1388,.F.);
1451#1435=ADVANCED_FACE('',(#134),#84,.F.);
1452#1436=ADVANCED_FACE('',(#135),#1389,.F.);
1453#1437=ADVANCED_FACE('',(#136),#85,.F.);
1454#1438=ADVANCED_FACE('',(#137),#1390,.F.);
1455#1439=ADVANCED_FACE('',(#138),#86,.F.);
1456#1440=ADVANCED_FACE('',(#139),#1391,.F.);
1457#1441=ADVANCED_FACE('',(#140),#1392,.T.);
1458#1442=ADVANCED_FACE('',(#141),#87,.F.);
1459#1443=ADVANCED_FACE('',(#142),#1393,.T.);
1460#1444=ADVANCED_FACE('',(#143),#88,.F.);
1461#1445=ADVANCED_FACE('',(#144),#1394,.T.);
1462#1446=ADVANCED_FACE('',(#145),#89,.F.);
1463#1447=ADVANCED_FACE('',(#146),#1395,.T.);
1464#1448=ADVANCED_FACE('',(#147),#90,.F.);
1465#1449=ADVANCED_FACE('',(#148),#91,.F.);
1466#1450=ADVANCED_FACE('',(#149),#1396,.F.);
1467#1451=ADVANCED_FACE('',(#150),#92,.F.);
1468#1452=ADVANCED_FACE('',(#151),#1397,.F.);
1469#1453=ADVANCED_FACE('',(#152),#93,.F.);
1470#1454=ADVANCED_FACE('',(#153),#1398,.F.);
1471#1455=ADVANCED_FACE('',(#154),#94,.F.);
1472#1456=ADVANCED_FACE('',(#155),#1399,.F.);
1473#1457=ADVANCED_FACE('',(#156),#1400,.F.);
1474#1458=ADVANCED_FACE('',(#157),#1401,.F.);
1475#1459=ADVANCED_FACE('',(#158),#1402,.F.);
1476#1460=ADVANCED_FACE('',(#159),#1403,.F.);
1477#1461=ADVANCED_FACE('',(#160),#1404,.F.);
1478#1462=ADVANCED_FACE('',(#161),#1405,.F.);
1479#1463=ADVANCED_FACE('',(#162),#1406,.F.);
1480#1464=ADVANCED_FACE('',(#163),#1407,.F.);
1481#1465=ADVANCED_FACE('',(#164),#1408,.F.);
1482#1466=ADVANCED_FACE('',(#165),#1409,.F.);
1483#1467=ADVANCED_FACE('',(#166),#1410,.F.);
1484#1468=ADVANCED_FACE('',(#167),#1411,.F.);
1485#1469=ADVANCED_FACE('',(#168),#1412,.F.);
1486#1470=ADVANCED_FACE('',(#169),#1413,.F.);
1487#1471=ADVANCED_FACE('',(#170),#1414,.F.);
1488#1472=ADVANCED_FACE('',(#171),#1415,.F.);
1489#1473=ADVANCED_FACE('',(#172),#1416,.F.);
1490#1474=ADVANCED_FACE('',(#173,#15,#16,#17,#18,#19),#95,.F.);
1491#1475=ADVANCED_FACE('',(#174),#96,.F.);
1492#1476=ADVANCED_FACE('',(#175,#20,#21,#22,#23,#24),#97,.F.);
1493#1477=ADVANCED_FACE('',(#176,#25),#98,.F.);
1494#1478=ADVANCED_FACE('',(#177,#26,#27,#28,#29),#99,.F.);
1495#1479=ADVANCED_FACE('',(#178,#30),#100,.F.);
1496#1480=ADVANCED_FACE('',(#179,#31,#32,#33,#34,#35,#36,#37,#38,#39,#40,#41),
1497#101,.F.);
1498#1481=ADVANCED_FACE('',(#180,#42,#43,#44,#45,#46,#47,#48,#49,#50),#102,
1499 .T.);
1500#1482=ADVANCED_FACE('',(#181),#103,.F.);
1501#1483=ADVANCED_FACE('',(#182),#104,.F.);
1502#1484=ADVANCED_FACE('',(#183),#105,.F.);
1503#1485=ADVANCED_FACE('',(#184),#106,.F.);
1504#1486=ADVANCED_FACE('',(#185),#107,.F.);
1505#1487=ADVANCED_FACE('',(#186,#51),#108,.T.);
1506#1488=ADVANCED_FACE('',(#187),#109,.T.);
1507#1489=ADVANCED_FACE('',(#188,#52),#110,.T.);
1508#1490=ADVANCED_FACE('',(#189,#53),#111,.T.);
1509#1491=ADVANCED_FACE('',(#190,#54,#55,#56,#57),#112,.T.);
1510#1492=ADVANCED_FACE('',(#191,#58),#113,.T.);
1511#1493=ADVANCED_FACE('',(#192,#59,#60,#61,#62,#63,#64,#65,#66,#67,#68,#69),
1512#114,.T.);
1513#1494=ADVANCED_FACE('',(#193,#70,#71,#72,#73,#74,#75,#76,#77,#78),#115,
1514 .F.);
1515#1495=CLOSED_SHELL('',(#1417,#1418,#1419,#1420,#1421,#1422,#1423,#1424,
1516#1425,#1426,#1427,#1428,#1429,#1430,#1431,#1432,#1433,#1434,#1435,#1436,
1517#1437,#1438,#1439,#1440,#1441,#1442,#1443,#1444,#1445,#1446,#1447,#1448,
1518#1449,#1450,#1451,#1452,#1453,#1454,#1455,#1456,#1457,#1458,#1459,#1460,
1519#1461,#1462,#1463,#1464,#1465,#1466,#1467,#1468,#1469,#1470,#1471,#1472,
1520#1473,#1474,#1475,#1476,#1477,#1478,#1479,#1480,#1481,#1482,#1483,#1484,
1521#1485,#1486,#1487,#1488,#1489,#1490,#1491,#1492,#1493,#1494));
1522#1496=AXIS2_PLACEMENT_3D('placement',#2095,#1657,#1658);
1523#1497=AXIS2_PLACEMENT_3D('',#2096,#1659,#1660);
1524#1498=AXIS2_PLACEMENT_3D('',#2098,#1661,#1662);
1525#1499=AXIS2_PLACEMENT_3D('',#2101,#1664,#1665);
1526#1500=AXIS2_PLACEMENT_3D('',#2102,#1666,#1667);
1527#1501=AXIS2_PLACEMENT_3D('',#2104,#1668,#1669);
1528#1502=AXIS2_PLACEMENT_3D('',#2107,#1671,#1672);
1529#1503=AXIS2_PLACEMENT_3D('',#2108,#1673,#1674);
1530#1504=AXIS2_PLACEMENT_3D('',#2110,#1675,#1676);
1531#1505=AXIS2_PLACEMENT_3D('',#2113,#1678,#1679);
1532#1506=AXIS2_PLACEMENT_3D('',#2114,#1680,#1681);
1533#1507=AXIS2_PLACEMENT_3D('',#2116,#1682,#1683);
1534#1508=AXIS2_PLACEMENT_3D('',#2119,#1685,#1686);
1535#1509=AXIS2_PLACEMENT_3D('',#2120,#1687,#1688);
1536#1510=AXIS2_PLACEMENT_3D('',#2122,#1689,#1690);
1537#1511=AXIS2_PLACEMENT_3D('',#2125,#1692,#1693);
1538#1512=AXIS2_PLACEMENT_3D('',#2126,#1694,#1695);
1539#1513=AXIS2_PLACEMENT_3D('',#2128,#1696,#1697);
1540#1514=AXIS2_PLACEMENT_3D('',#2131,#1699,#1700);
1541#1515=AXIS2_PLACEMENT_3D('',#2132,#1701,#1702);
1542#1516=AXIS2_PLACEMENT_3D('',#2134,#1703,#1704);
1543#1517=AXIS2_PLACEMENT_3D('',#2137,#1706,#1707);
1544#1518=AXIS2_PLACEMENT_3D('',#2138,#1708,#1709);
1545#1519=AXIS2_PLACEMENT_3D('',#2140,#1710,#1711);
1546#1520=AXIS2_PLACEMENT_3D('',#2143,#1713,#1714);
1547#1521=AXIS2_PLACEMENT_3D('',#2144,#1715,#1716);
1548#1522=AXIS2_PLACEMENT_3D('',#2146,#1717,#1718);
1549#1523=AXIS2_PLACEMENT_3D('',#2149,#1720,#1721);
1550#1524=AXIS2_PLACEMENT_3D('',#2150,#1722,#1723);
1551#1525=AXIS2_PLACEMENT_3D('',#2151,#1724,#1725);
1552#1526=AXIS2_PLACEMENT_3D('',#2153,#1726,#1727);
1553#1527=AXIS2_PLACEMENT_3D('',#2156,#1729,#1730);
1554#1528=AXIS2_PLACEMENT_3D('',#2157,#1731,#1732);
1555#1529=AXIS2_PLACEMENT_3D('',#2158,#1733,#1734);
1556#1530=AXIS2_PLACEMENT_3D('',#2160,#1735,#1736);
1557#1531=AXIS2_PLACEMENT_3D('',#2163,#1738,#1739);
1558#1532=AXIS2_PLACEMENT_3D('',#2164,#1740,#1741);
1559#1533=AXIS2_PLACEMENT_3D('',#2165,#1742,#1743);
1560#1534=AXIS2_PLACEMENT_3D('',#2167,#1744,#1745);
1561#1535=AXIS2_PLACEMENT_3D('',#2170,#1747,#1748);
1562#1536=AXIS2_PLACEMENT_3D('',#2171,#1749,#1750);
1563#1537=AXIS2_PLACEMENT_3D('',#2172,#1751,#1752);
1564#1538=AXIS2_PLACEMENT_3D('',#2181,#1757,#1758);
1565#1539=AXIS2_PLACEMENT_3D('',#2183,#1759,#1760);
1566#1540=AXIS2_PLACEMENT_3D('',#2185,#1761,#1762);
1567#1541=AXIS2_PLACEMENT_3D('',#2187,#1764,#1765);
1568#1542=AXIS2_PLACEMENT_3D('',#2193,#1769,#1770);
1569#1543=AXIS2_PLACEMENT_3D('',#2195,#1771,#1772);
1570#1544=AXIS2_PLACEMENT_3D('',#2197,#1773,#1774);
1571#1545=AXIS2_PLACEMENT_3D('',#2199,#1776,#1777);
1572#1546=AXIS2_PLACEMENT_3D('',#2205,#1781,#1782);
1573#1547=AXIS2_PLACEMENT_3D('',#2207,#1783,#1784);
1574#1548=AXIS2_PLACEMENT_3D('',#2209,#1785,#1786);
1575#1549=AXIS2_PLACEMENT_3D('',#2211,#1788,#1789);
1576#1550=AXIS2_PLACEMENT_3D('',#2217,#1793,#1794);
1577#1551=AXIS2_PLACEMENT_3D('',#2218,#1795,#1796);
1578#1552=AXIS2_PLACEMENT_3D('',#2219,#1797,#1798);
1579#1553=AXIS2_PLACEMENT_3D('',#2220,#1799,#1800);
1580#1554=AXIS2_PLACEMENT_3D('',#2222,#1801,#1802);
1581#1555=AXIS2_PLACEMENT_3D('',#2225,#1804,#1805);
1582#1556=AXIS2_PLACEMENT_3D('',#2226,#1806,#1807);
1583#1557=AXIS2_PLACEMENT_3D('',#2227,#1808,#1809);
1584#1558=AXIS2_PLACEMENT_3D('',#2229,#1810,#1811);
1585#1559=AXIS2_PLACEMENT_3D('',#2232,#1813,#1814);
1586#1560=AXIS2_PLACEMENT_3D('',#2233,#1815,#1816);
1587#1561=AXIS2_PLACEMENT_3D('',#2234,#1817,#1818);
1588#1562=AXIS2_PLACEMENT_3D('',#2236,#1819,#1820);
1589#1563=AXIS2_PLACEMENT_3D('',#2239,#1822,#1823);
1590#1564=AXIS2_PLACEMENT_3D('',#2240,#1824,#1825);
1591#1565=AXIS2_PLACEMENT_3D('',#2241,#1826,#1827);
1592#1566=AXIS2_PLACEMENT_3D('',#2243,#1828,#1829);
1593#1567=AXIS2_PLACEMENT_3D('',#2246,#1831,#1832);
1594#1568=AXIS2_PLACEMENT_3D('',#2247,#1833,#1834);
1595#1569=AXIS2_PLACEMENT_3D('',#2248,#1835,#1836);
1596#1570=AXIS2_PLACEMENT_3D('',#2257,#1841,#1842);
1597#1571=AXIS2_PLACEMENT_3D('',#2259,#1843,#1844);
1598#1572=AXIS2_PLACEMENT_3D('',#2261,#1845,#1846);
1599#1573=AXIS2_PLACEMENT_3D('',#2263,#1848,#1849);
1600#1574=AXIS2_PLACEMENT_3D('',#2269,#1853,#1854);
1601#1575=AXIS2_PLACEMENT_3D('',#2271,#1855,#1856);
1602#1576=AXIS2_PLACEMENT_3D('',#2273,#1857,#1858);
1603#1577=AXIS2_PLACEMENT_3D('',#2275,#1860,#1861);
1604#1578=AXIS2_PLACEMENT_3D('',#2281,#1865,#1866);
1605#1579=AXIS2_PLACEMENT_3D('',#2283,#1867,#1868);
1606#1580=AXIS2_PLACEMENT_3D('',#2285,#1869,#1870);
1607#1581=AXIS2_PLACEMENT_3D('',#2287,#1872,#1873);
1608#1582=AXIS2_PLACEMENT_3D('',#2293,#1877,#1878);
1609#1583=AXIS2_PLACEMENT_3D('',#2294,#1879,#1880);
1610#1584=AXIS2_PLACEMENT_3D('',#2295,#1881,#1882);
1611#1585=AXIS2_PLACEMENT_3D('',#2296,#1883,#1884);
1612#1586=AXIS2_PLACEMENT_3D('',#2298,#1885,#1886);
1613#1587=AXIS2_PLACEMENT_3D('',#2301,#1888,#1889);
1614#1588=AXIS2_PLACEMENT_3D('',#2302,#1890,#1891);
1615#1589=AXIS2_PLACEMENT_3D('',#2304,#1892,#1893);
1616#1590=AXIS2_PLACEMENT_3D('',#2307,#1895,#1896);
1617#1591=AXIS2_PLACEMENT_3D('',#2308,#1897,#1898);
1618#1592=AXIS2_PLACEMENT_3D('',#2310,#1899,#1900);
1619#1593=AXIS2_PLACEMENT_3D('',#2313,#1902,#1903);
1620#1594=AXIS2_PLACEMENT_3D('',#2314,#1904,#1905);
1621#1595=AXIS2_PLACEMENT_3D('',#2316,#1906,#1907);
1622#1596=AXIS2_PLACEMENT_3D('',#2319,#1909,#1910);
1623#1597=AXIS2_PLACEMENT_3D('',#2320,#1911,#1912);
1624#1598=AXIS2_PLACEMENT_3D('',#2322,#1913,#1914);
1625#1599=AXIS2_PLACEMENT_3D('',#2325,#1916,#1917);
1626#1600=AXIS2_PLACEMENT_3D('',#2326,#1918,#1919);
1627#1601=AXIS2_PLACEMENT_3D('',#2328,#1920,#1921);
1628#1602=AXIS2_PLACEMENT_3D('',#2331,#1923,#1924);
1629#1603=AXIS2_PLACEMENT_3D('',#2332,#1925,#1926);
1630#1604=AXIS2_PLACEMENT_3D('',#2334,#1927,#1928);
1631#1605=AXIS2_PLACEMENT_3D('',#2337,#1930,#1931);
1632#1606=AXIS2_PLACEMENT_3D('',#2338,#1932,#1933);
1633#1607=AXIS2_PLACEMENT_3D('',#2340,#1934,#1935);
1634#1608=AXIS2_PLACEMENT_3D('',#2343,#1937,#1938);
1635#1609=AXIS2_PLACEMENT_3D('',#2344,#1939,#1940);
1636#1610=AXIS2_PLACEMENT_3D('',#2346,#1941,#1942);
1637#1611=AXIS2_PLACEMENT_3D('',#2349,#1944,#1945);
1638#1612=AXIS2_PLACEMENT_3D('',#2350,#1946,#1947);
1639#1613=AXIS2_PLACEMENT_3D('',#2352,#1948,#1949);
1640#1614=AXIS2_PLACEMENT_3D('',#2355,#1951,#1952);
1641#1615=AXIS2_PLACEMENT_3D('',#2356,#1953,#1954);
1642#1616=AXIS2_PLACEMENT_3D('',#2358,#1955,#1956);
1643#1617=AXIS2_PLACEMENT_3D('',#2361,#1958,#1959);
1644#1618=AXIS2_PLACEMENT_3D('',#2362,#1960,#1961);
1645#1619=AXIS2_PLACEMENT_3D('',#2364,#1962,#1963);
1646#1620=AXIS2_PLACEMENT_3D('',#2367,#1965,#1966);
1647#1621=AXIS2_PLACEMENT_3D('',#2368,#1967,#1968);
1648#1622=AXIS2_PLACEMENT_3D('',#2370,#1969,#1970);
1649#1623=AXIS2_PLACEMENT_3D('',#2373,#1972,#1973);
1650#1624=AXIS2_PLACEMENT_3D('',#2374,#1974,#1975);
1651#1625=AXIS2_PLACEMENT_3D('',#2376,#1976,#1977);
1652#1626=AXIS2_PLACEMENT_3D('',#2379,#1979,#1980);
1653#1627=AXIS2_PLACEMENT_3D('',#2380,#1981,#1982);
1654#1628=AXIS2_PLACEMENT_3D('',#2382,#1983,#1984);
1655#1629=AXIS2_PLACEMENT_3D('',#2385,#1986,#1987);
1656#1630=AXIS2_PLACEMENT_3D('',#2386,#1988,#1989);
1657#1631=AXIS2_PLACEMENT_3D('',#2388,#1990,#1991);
1658#1632=AXIS2_PLACEMENT_3D('',#2391,#1993,#1994);
1659#1633=AXIS2_PLACEMENT_3D('',#2392,#1995,#1996);
1660#1634=AXIS2_PLACEMENT_3D('',#2394,#1997,#1998);
1661#1635=AXIS2_PLACEMENT_3D('',#2397,#2000,#2001);
1662#1636=AXIS2_PLACEMENT_3D('',#2398,#2002,#2003);
1663#1637=AXIS2_PLACEMENT_3D('',#2407,#2008,#2009);
1664#1638=AXIS2_PLACEMENT_3D('',#2413,#2013,#2014);
1665#1639=AXIS2_PLACEMENT_3D('',#2419,#2018,#2019);
1666#1640=AXIS2_PLACEMENT_3D('',#2425,#2023,#2024);
1667#1641=AXIS2_PLACEMENT_3D('',#2431,#2028,#2029);
1668#1642=AXIS2_PLACEMENT_3D('',#2434,#2032,#2033);
1669#1643=AXIS2_PLACEMENT_3D('',#2435,#2034,#2035);
1670#1644=AXIS2_PLACEMENT_3D('',#2446,#2041,#2042);
1671#1645=AXIS2_PLACEMENT_3D('',#2452,#2046,#2047);
1672#1646=AXIS2_PLACEMENT_3D('',#2456,#2050,#2051);
1673#1647=AXIS2_PLACEMENT_3D('',#2460,#2054,#2055);
1674#1648=AXIS2_PLACEMENT_3D('',#2464,#2058,#2059);
1675#1649=AXIS2_PLACEMENT_3D('',#2466,#2061,#2062);
1676#1650=AXIS2_PLACEMENT_3D('',#2475,#2067,#2068);
1677#1651=AXIS2_PLACEMENT_3D('',#2481,#2072,#2073);
1678#1652=AXIS2_PLACEMENT_3D('',#2487,#2077,#2078);
1679#1653=AXIS2_PLACEMENT_3D('',#2493,#2082,#2083);
1680#1654=AXIS2_PLACEMENT_3D('',#2499,#2087,#2088);
1681#1655=AXIS2_PLACEMENT_3D('',#2502,#2091,#2092);
1682#1656=AXIS2_PLACEMENT_3D('',#2503,#2093,#2094);
1683#1657=DIRECTION('axis',(0.,0.,1.));
1684#1658=DIRECTION('refdir',(1.,0.,0.));
1685#1659=DIRECTION('center_axis',(0.,1.,0.));
1686#1660=DIRECTION('ref_axis',(0.,0.,-1.));
1687#1661=DIRECTION('center_axis',(0.,1.,0.));
1688#1662=DIRECTION('ref_axis',(0.,0.,-1.));
1689#1663=DIRECTION('',(0.,1.,0.));
1690#1664=DIRECTION('center_axis',(0.,-1.,0.));
1691#1665=DIRECTION('ref_axis',(0.,0.,-1.));
1692#1666=DIRECTION('center_axis',(0.,1.,0.));
1693#1667=DIRECTION('ref_axis',(0.,0.,-1.));
1694#1668=DIRECTION('center_axis',(0.,1.,0.));
1695#1669=DIRECTION('ref_axis',(0.,0.,-1.));
1696#1670=DIRECTION('',(0.,1.,0.));
1697#1671=DIRECTION('center_axis',(0.,-1.,0.));
1698#1672=DIRECTION('ref_axis',(0.,0.,-1.));
1699#1673=DIRECTION('center_axis',(0.,1.,0.));
1700#1674=DIRECTION('ref_axis',(0.,0.,-1.));
1701#1675=DIRECTION('center_axis',(0.,1.,0.));
1702#1676=DIRECTION('ref_axis',(0.,0.,-1.));
1703#1677=DIRECTION('',(0.,1.,0.));
1704#1678=DIRECTION('center_axis',(0.,-1.,0.));
1705#1679=DIRECTION('ref_axis',(0.,0.,-1.));
1706#1680=DIRECTION('center_axis',(0.,1.,0.));
1707#1681=DIRECTION('ref_axis',(0.,0.,-1.));
1708#1682=DIRECTION('center_axis',(0.,1.,0.));
1709#1683=DIRECTION('ref_axis',(0.,0.,-1.));
1710#1684=DIRECTION('',(0.,1.,0.));
1711#1685=DIRECTION('center_axis',(0.,-1.,0.));
1712#1686=DIRECTION('ref_axis',(0.,0.,-1.));
1713#1687=DIRECTION('center_axis',(0.,1.,0.));
1714#1688=DIRECTION('ref_axis',(0.,0.,-1.));
1715#1689=DIRECTION('center_axis',(0.,1.,0.));
1716#1690=DIRECTION('ref_axis',(0.,0.,-1.));
1717#1691=DIRECTION('',(0.,1.,0.));
1718#1692=DIRECTION('center_axis',(0.,-1.,0.));
1719#1693=DIRECTION('ref_axis',(0.,0.,-1.));
1720#1694=DIRECTION('center_axis',(0.,1.,0.));
1721#1695=DIRECTION('ref_axis',(0.,0.,-1.));
1722#1696=DIRECTION('center_axis',(0.,1.,0.));
1723#1697=DIRECTION('ref_axis',(0.,0.,-1.));
1724#1698=DIRECTION('',(0.,1.,0.));
1725#1699=DIRECTION('center_axis',(0.,-1.,0.));
1726#1700=DIRECTION('ref_axis',(0.,0.,-1.));
1727#1701=DIRECTION('center_axis',(0.,1.,0.));
1728#1702=DIRECTION('ref_axis',(0.,0.,-1.));
1729#1703=DIRECTION('center_axis',(0.,1.,0.));
1730#1704=DIRECTION('ref_axis',(0.,0.,-1.));
1731#1705=DIRECTION('',(0.,1.,0.));
1732#1706=DIRECTION('center_axis',(0.,-1.,0.));
1733#1707=DIRECTION('ref_axis',(0.,0.,-1.));
1734#1708=DIRECTION('center_axis',(0.,1.,0.));
1735#1709=DIRECTION('ref_axis',(0.,0.,-1.));
1736#1710=DIRECTION('center_axis',(0.,1.,0.));
1737#1711=DIRECTION('ref_axis',(0.,0.,-1.));
1738#1712=DIRECTION('',(0.,1.,0.));
1739#1713=DIRECTION('center_axis',(0.,-1.,0.));
1740#1714=DIRECTION('ref_axis',(0.,0.,-1.));
1741#1715=DIRECTION('center_axis',(-0.691654801480226,0.207911690817759,-0.691654801480226));
1742#1716=DIRECTION('ref_axis',(0.707106781186548,6.81285705948073E-17,-0.707106781186548));
1743#1717=DIRECTION('center_axis',(-0.691654801480225,0.207911690817759,-0.691654801480226));
1744#1718=DIRECTION('ref_axis',(0.707106781186548,-1.41878258428517E-17,-0.707106781186547));
1745#1719=DIRECTION('',(0.691654801480226,-0.207911690817759,0.691654801480226));
1746#1720=DIRECTION('center_axis',(0.691654801480225,-0.207911690817759,0.691654801480226));
1747#1721=DIRECTION('ref_axis',(0.707106781186548,6.81285705948073E-17,-0.707106781186548));
1748#1722=DIRECTION('center_axis',(0.691654801480225,-0.207911690817759,0.691654801480226));
1749#1723=DIRECTION('ref_axis',(0.707106781186548,-1.41878258428517E-17,-0.707106781186547));
1750#1724=DIRECTION('center_axis',(-0.691654801480226,0.207911690817759,-0.691654801480226));
1751#1725=DIRECTION('ref_axis',(0.707106781186548,6.81285705948072E-17,-0.707106781186548));
1752#1726=DIRECTION('center_axis',(-0.691654801480225,0.207911690817759,-0.691654801480226));
1753#1727=DIRECTION('ref_axis',(0.707106781186548,-5.34401373099461E-17,-0.707106781186547));
1754#1728=DIRECTION('',(0.691654801480226,-0.207911690817759,0.691654801480226));
1755#1729=DIRECTION('center_axis',(0.691654801480225,-0.207911690817759,0.691654801480226));
1756#1730=DIRECTION('ref_axis',(0.707106781186548,6.81285705948072E-17,-0.707106781186548));
1757#1731=DIRECTION('center_axis',(0.691654801480225,-0.207911690817759,0.691654801480226));
1758#1732=DIRECTION('ref_axis',(0.707106781186548,-5.34401373099461E-17,-0.707106781186547));
1759#1733=DIRECTION('center_axis',(-0.691654801480225,0.207911690817759,-0.691654801480226));
1760#1734=DIRECTION('ref_axis',(0.707106781186548,2.27545344468011E-18,-0.707106781186547));
1761#1735=DIRECTION('center_axis',(-0.691654801480225,0.207911690817759,-0.691654801480226));
1762#1736=DIRECTION('ref_axis',(0.707106781186548,-1.41878258428517E-17,-0.707106781186547));
1763#1737=DIRECTION('',(0.691654801480226,-0.207911690817759,0.691654801480226));
1764#1738=DIRECTION('center_axis',(0.691654801480225,-0.207911690817759,0.691654801480226));
1765#1739=DIRECTION('ref_axis',(0.707106781186548,2.27545344468011E-18,-0.707106781186547));
1766#1740=DIRECTION('center_axis',(0.691654801480225,-0.207911690817759,0.691654801480226));
1767#1741=DIRECTION('ref_axis',(0.707106781186548,-1.41878258428517E-17,-0.707106781186547));
1768#1742=DIRECTION('center_axis',(-0.691654801480225,0.207911690817759,-0.691654801480226));
1769#1743=DIRECTION('ref_axis',(0.707106781186548,2.27545344468009E-18,-0.707106781186547));
1770#1744=DIRECTION('center_axis',(-0.691654801480225,0.207911690817759,-0.691654801480226));
1771#1745=DIRECTION('ref_axis',(0.707106781186548,-3.38139815763989E-17,-0.707106781186547));
1772#1746=DIRECTION('',(0.691654801480226,-0.207911690817759,0.691654801480226));
1773#1747=DIRECTION('center_axis',(0.691654801480225,-0.207911690817759,0.691654801480226));
1774#1748=DIRECTION('ref_axis',(0.707106781186548,2.27545344468009E-18,-0.707106781186547));
1775#1749=DIRECTION('center_axis',(0.691654801480225,-0.207911690817759,0.691654801480226));
1776#1750=DIRECTION('ref_axis',(0.707106781186548,-3.38139815763989E-17,-0.707106781186547));
1777#1751=DIRECTION('center_axis',(-0.707106781186548,-6.93889390390723E-17,
17780.707106781186548));
1779#1752=DIRECTION('ref_axis',(0.147015766465207,0.978147600733803,0.147015766465207));
1780#1753=DIRECTION('',(-0.147015766465198,-0.978147600733806,-0.147015766465199));
1781#1754=DIRECTION('',(-0.691654801480226,0.207911690817759,-0.691654801480226));
1782#1755=DIRECTION('',(0.147015766465198,0.978147600733806,0.147015766465199));
1783#1756=DIRECTION('',(-0.691654801480226,0.207911690817759,-0.691654801480226));
1784#1757=DIRECTION('center_axis',(-0.691654801480226,0.207911690817759,-0.691654801480226));
1785#1758=DIRECTION('ref_axis',(-0.707106781186548,-7.66894758243392E-17,0.707106781186548));
1786#1759=DIRECTION('center_axis',(0.691654801480225,-0.207911690817759,0.691654801480226));
1787#1760=DIRECTION('ref_axis',(-0.707106781186548,-7.66894758243392E-17,0.707106781186548));
1788#1761=DIRECTION('center_axis',(-0.691654801480225,0.207911690817759,-0.691654801480226));
1789#1762=DIRECTION('ref_axis',(-0.707106781186548,-7.66894758243392E-17,0.707106781186548));
1790#1763=DIRECTION('',(-0.691654801480226,0.207911690817759,-0.691654801480226));
1791#1764=DIRECTION('center_axis',(-0.147015766465198,-0.978147600733806,-0.147015766465198));
1792#1765=DIRECTION('ref_axis',(-0.707106781186548,1.41878258428515E-17,0.707106781186547));
1793#1766=DIRECTION('',(0.707106781186548,0.,-0.707106781186547));
1794#1767=DIRECTION('',(-0.707106781186548,0.,0.707106781186547));
1795#1768=DIRECTION('',(-0.691654801480226,0.207911690817759,-0.691654801480226));
1796#1769=DIRECTION('center_axis',(-0.691654801480226,0.207911690817759,-0.691654801480226));
1797#1770=DIRECTION('ref_axis',(-0.147015766465198,-0.978147600733806,-0.147015766465198));
1798#1771=DIRECTION('center_axis',(0.691654801480225,-0.207911690817759,0.691654801480226));
1799#1772=DIRECTION('ref_axis',(-0.147015766465198,-0.978147600733806,-0.147015766465198));
1800#1773=DIRECTION('center_axis',(-0.691654801480225,0.207911690817759,-0.691654801480226));
1801#1774=DIRECTION('ref_axis',(-0.147015766465198,-0.978147600733806,-0.147015766465198));
1802#1775=DIRECTION('',(-0.691654801480226,0.207911690817759,-0.691654801480226));
1803#1776=DIRECTION('center_axis',(0.707106781186548,0.,-0.707106781186548));
1804#1777=DIRECTION('ref_axis',(-0.147015766465198,-0.978147600733806,-0.147015766465198));
1805#1778=DIRECTION('',(0.147015766465198,0.978147600733806,0.147015766465198));
1806#1779=DIRECTION('',(-0.147015766465198,-0.978147600733806,-0.147015766465198));
1807#1780=DIRECTION('',(-0.691654801480226,0.207911690817759,-0.691654801480226));
1808#1781=DIRECTION('center_axis',(-0.691654801480226,0.207911690817759,-0.691654801480226));
1809#1782=DIRECTION('ref_axis',(0.707106781186548,5.15137943855007E-17,-0.707106781186548));
1810#1783=DIRECTION('center_axis',(0.691654801480225,-0.207911690817759,0.691654801480226));
1811#1784=DIRECTION('ref_axis',(0.707106781186548,5.15137943855007E-17,-0.707106781186548));
1812#1785=DIRECTION('center_axis',(-0.691654801480225,0.207911690817759,-0.691654801480226));
1813#1786=DIRECTION('ref_axis',(0.707106781186548,5.15137943855007E-17,-0.707106781186548));
1814#1787=DIRECTION('',(-0.691654801480226,0.207911690817759,-0.691654801480226));
1815#1788=DIRECTION('center_axis',(0.147015766465198,0.978147600733806,0.147015766465198));
1816#1789=DIRECTION('ref_axis',(0.707106781186539,2.2353466972036E-15,-0.707106781186556));
1817#1790=DIRECTION('',(-0.707106781186548,2.63677968348475E-16,0.707106781186547));
1818#1791=DIRECTION('',(0.707106781186548,-2.63677968348475E-16,-0.707106781186547));
1819#1792=DIRECTION('',(-0.691654801480226,0.207911690817759,-0.691654801480226));
1820#1793=DIRECTION('center_axis',(-0.691654801480226,0.207911690817759,-0.691654801480226));
1821#1794=DIRECTION('ref_axis',(0.147015766465198,0.978147600733806,0.147015766465198));
1822#1795=DIRECTION('center_axis',(0.691654801480225,-0.207911690817759,0.691654801480226));
1823#1796=DIRECTION('ref_axis',(0.147015766465198,0.978147600733806,0.147015766465198));
1824#1797=DIRECTION('center_axis',(-0.691654801480225,0.207911690817759,-0.691654801480226));
1825#1798=DIRECTION('ref_axis',(0.147015766465198,0.978147600733806,0.147015766465198));
1826#1799=DIRECTION('center_axis',(0.691654801480224,0.207911690817759,-0.691654801480227));
1827#1800=DIRECTION('ref_axis',(0.707106781186549,-2.27545344468012E-18,0.707106781186546));
1828#1801=DIRECTION('center_axis',(0.691654801480224,0.207911690817759,-0.691654801480228));
1829#1802=DIRECTION('ref_axis',(0.70710678118655,1.41878258428518E-17,0.707106781186546));
1830#1803=DIRECTION('',(-0.691654801480224,-0.207911690817759,0.691654801480227));
1831#1804=DIRECTION('center_axis',(-0.691654801480224,-0.207911690817759,0.691654801480227));
1832#1805=DIRECTION('ref_axis',(0.707106781186549,-2.27545344468012E-18,0.707106781186546));
1833#1806=DIRECTION('center_axis',(-0.691654801480224,-0.207911690817759,0.691654801480228));
1834#1807=DIRECTION('ref_axis',(0.707106781186549,1.41878258428518E-17,0.707106781186546));
1835#1808=DIRECTION('center_axis',(0.691654801480224,0.207911690817759,-0.691654801480228));
1836#1809=DIRECTION('ref_axis',(0.70710678118655,1.41878258428517E-17,0.707106781186546));
1837#1810=DIRECTION('center_axis',(0.691654801480224,0.207911690817759,-0.691654801480227));
1838#1811=DIRECTION('ref_axis',(0.707106781186549,-5.43832989069534E-18,0.707106781186546));
1839#1812=DIRECTION('',(-0.691654801480224,-0.207911690817759,0.691654801480228));
1840#1813=DIRECTION('center_axis',(-0.691654801480224,-0.207911690817759,0.691654801480227));
1841#1814=DIRECTION('ref_axis',(0.70710678118655,1.41878258428517E-17,0.707106781186546));
1842#1815=DIRECTION('center_axis',(-0.691654801480224,-0.207911690817759,0.691654801480227));
1843#1816=DIRECTION('ref_axis',(0.707106781186549,-5.43832989069534E-18,0.707106781186546));
1844#1817=DIRECTION('center_axis',(0.691654801480224,0.207911690817759,-0.691654801480227));
1845#1818=DIRECTION('ref_axis',(0.707106781186549,-2.27545344468012E-18,0.707106781186546));
1846#1819=DIRECTION('center_axis',(0.691654801480224,0.207911690817759,-0.691654801480227));
1847#1820=DIRECTION('ref_axis',(0.70710678118655,3.38139815763989E-17,0.707106781186546));
1848#1821=DIRECTION('',(-0.691654801480224,-0.207911690817759,0.691654801480227));
1849#1822=DIRECTION('center_axis',(-0.691654801480224,-0.207911690817759,0.691654801480227));
1850#1823=DIRECTION('ref_axis',(0.707106781186549,-2.27545344468012E-18,0.707106781186546));
1851#1824=DIRECTION('center_axis',(-0.691654801480224,-0.207911690817759,0.691654801480227));
1852#1825=DIRECTION('ref_axis',(0.70710678118655,3.38139815763989E-17,0.707106781186546));
1853#1826=DIRECTION('center_axis',(0.691654801480224,0.207911690817759,-0.691654801480228));
1854#1827=DIRECTION('ref_axis',(0.70710678118655,1.41878258428517E-17,0.707106781186546));
1855#1828=DIRECTION('center_axis',(0.691654801480224,0.207911690817759,-0.691654801480228));
1856#1829=DIRECTION('ref_axis',(0.707106781186549,1.41878258428518E-17,0.707106781186546));
1857#1830=DIRECTION('',(-0.691654801480224,-0.207911690817759,0.691654801480228));
1858#1831=DIRECTION('center_axis',(-0.691654801480224,-0.207911690817759,0.691654801480227));
1859#1832=DIRECTION('ref_axis',(0.70710678118655,1.41878258428517E-17,0.707106781186546));
1860#1833=DIRECTION('center_axis',(-0.691654801480224,-0.207911690817759,0.691654801480228));
1861#1834=DIRECTION('ref_axis',(0.707106781186549,1.41878258428518E-17,0.707106781186546));
1862#1835=DIRECTION('center_axis',(-0.147015766465207,0.978147600733803,0.147015766465208));
1863#1836=DIRECTION('ref_axis',(0.707106781186558,2.55175205141834E-15,0.707106781186537));
1864#1837=DIRECTION('',(-0.707106781186549,0.,-0.707106781186546));
1865#1838=DIRECTION('',(0.691654801480218,0.207911690817772,-0.69165480148023));
1866#1839=DIRECTION('',(0.707106781186549,0.,0.707106781186546));
1867#1840=DIRECTION('',(0.691654801480218,0.207911690817772,-0.69165480148023));
1868#1841=DIRECTION('center_axis',(0.691654801480218,0.207911690817772,-0.69165480148023));
1869#1842=DIRECTION('ref_axis',(-0.147015766465207,0.978147600733803,0.147015766465208));
1870#1843=DIRECTION('center_axis',(-0.691654801480224,-0.207911690817759,0.691654801480227));
1871#1844=DIRECTION('ref_axis',(-0.147015766465207,0.978147600733803,0.147015766465208));
1872#1845=DIRECTION('center_axis',(0.691654801480224,0.207911690817759,-0.691654801480227));
1873#1846=DIRECTION('ref_axis',(-0.147015766465207,0.978147600733803,0.147015766465208));
1874#1847=DIRECTION('',(0.691654801480218,0.207911690817772,-0.69165480148023));
1875#1848=DIRECTION('center_axis',(-0.707106781186553,-1.19348975147204E-15,
1876-0.707106781186542));
1877#1849=DIRECTION('ref_axis',(-0.147015766465207,0.978147600733803,0.147015766465207));
1878#1850=DIRECTION('',(0.147015766465198,-0.978147600733806,-0.147015766465199));
1879#1851=DIRECTION('',(-0.147015766465198,0.978147600733806,0.147015766465199));
1880#1852=DIRECTION('',(0.691654801480218,0.207911690817772,-0.69165480148023));
1881#1853=DIRECTION('center_axis',(0.691654801480218,0.207911690817772,-0.69165480148023));
1882#1854=DIRECTION('ref_axis',(-0.707106781186553,-1.19559274751621E-15,-0.707106781186542));
1883#1855=DIRECTION('center_axis',(-0.691654801480224,-0.207911690817759,0.691654801480227));
1884#1856=DIRECTION('ref_axis',(-0.707106781186553,-1.19559274751621E-15,-0.707106781186542));
1885#1857=DIRECTION('center_axis',(0.691654801480224,0.207911690817759,-0.691654801480227));
1886#1858=DIRECTION('ref_axis',(-0.707106781186553,-1.19559274751621E-15,-0.707106781186542));
1887#1859=DIRECTION('',(0.691654801480218,0.207911690817772,-0.69165480148023));
1888#1860=DIRECTION('center_axis',(0.147015766465207,-0.978147600733803,-0.147015766465208));
1889#1861=DIRECTION('ref_axis',(-0.70710678118655,-1.41878258428519E-17,-0.707106781186546));
1890#1862=DIRECTION('',(0.707106781186549,-2.77555756156289E-17,0.707106781186546));
1891#1863=DIRECTION('',(-0.707106781186549,2.77555756156289E-17,-0.707106781186546));
1892#1864=DIRECTION('',(0.691654801480218,0.207911690817772,-0.69165480148023));
1893#1865=DIRECTION('center_axis',(0.691654801480218,0.207911690817772,-0.69165480148023));
1894#1866=DIRECTION('ref_axis',(0.147015766465207,-0.978147600733803,-0.147015766465208));
1895#1867=DIRECTION('center_axis',(-0.691654801480224,-0.207911690817759,0.691654801480227));
1896#1868=DIRECTION('ref_axis',(0.147015766465207,-0.978147600733803,-0.147015766465208));
1897#1869=DIRECTION('center_axis',(0.691654801480224,0.207911690817759,-0.691654801480227));
1898#1870=DIRECTION('ref_axis',(0.147015766465207,-0.978147600733803,-0.147015766465208));
1899#1871=DIRECTION('',(0.691654801480218,0.207911690817772,-0.69165480148023));
1900#1872=DIRECTION('center_axis',(0.707106781186553,1.11022302462516E-15,0.707106781186542));
1901#1873=DIRECTION('ref_axis',(0.147015766465198,-0.978147600733806,-0.147015766465199));
1902#1874=DIRECTION('',(-0.147015766465198,0.978147600733806,0.147015766465199));
1903#1875=DIRECTION('',(0.147015766465198,-0.978147600733806,-0.147015766465199));
1904#1876=DIRECTION('',(0.691654801480218,0.207911690817772,-0.69165480148023));
1905#1877=DIRECTION('center_axis',(0.691654801480218,0.207911690817772,-0.69165480148023));
1906#1878=DIRECTION('ref_axis',(0.707106781186553,1.18859252641066E-15,0.707106781186542));
1907#1879=DIRECTION('center_axis',(-0.691654801480224,-0.207911690817759,0.691654801480227));
1908#1880=DIRECTION('ref_axis',(0.707106781186553,1.18859252641066E-15,0.707106781186542));
1909#1881=DIRECTION('center_axis',(0.691654801480224,0.207911690817759,-0.691654801480227));
1910#1882=DIRECTION('ref_axis',(0.707106781186553,1.18859252641066E-15,0.707106781186542));
1911#1883=DIRECTION('center_axis',(1.,0.,-3.87488456471661E-14));
1912#1884=DIRECTION('ref_axis',(3.8748845647166E-14,0.,1.));
1913#1885=DIRECTION('center_axis',(1.,0.,-3.85650773253617E-14));
1914#1886=DIRECTION('ref_axis',(3.8748845647166E-14,0.,1.));
1915#1887=DIRECTION('',(1.,0.,-3.8748845647166E-14));
1916#1888=DIRECTION('center_axis',(-1.,0.,3.85650773253617E-14));
1917#1889=DIRECTION('ref_axis',(3.8748845647166E-14,0.,1.));
1918#1890=DIRECTION('center_axis',(1.,0.,-3.87488456471661E-14));
1919#1891=DIRECTION('ref_axis',(3.8748845647166E-14,0.,1.));
1920#1892=DIRECTION('center_axis',(1.,0.,-3.87487205507206E-14));
1921#1893=DIRECTION('ref_axis',(3.8748845647166E-14,0.,1.));
1922#1894=DIRECTION('',(1.,0.,-3.8748845647166E-14));
1923#1895=DIRECTION('center_axis',(-1.,0.,3.87487205507206E-14));
1924#1896=DIRECTION('ref_axis',(3.8748845647166E-14,0.,1.));
1925#1897=DIRECTION('center_axis',(0.,0.,1.));
1926#1898=DIRECTION('ref_axis',(-1.,0.,0.));
1927#1899=DIRECTION('center_axis',(0.,0.,1.));
1928#1900=DIRECTION('ref_axis',(-1.,0.,0.));
1929#1901=DIRECTION('',(0.,0.,1.));
1930#1902=DIRECTION('center_axis',(0.,0.,-1.));
1931#1903=DIRECTION('ref_axis',(-1.,0.,0.));
1932#1904=DIRECTION('center_axis',(0.,0.,1.));
1933#1905=DIRECTION('ref_axis',(-1.,0.,0.));
1934#1906=DIRECTION('center_axis',(0.,0.,1.));
1935#1907=DIRECTION('ref_axis',(-1.,0.,0.));
1936#1908=DIRECTION('',(0.,0.,1.));
1937#1909=DIRECTION('center_axis',(0.,0.,-1.));
1938#1910=DIRECTION('ref_axis',(-1.,0.,0.));
1939#1911=DIRECTION('center_axis',(0.,0.,1.));
1940#1912=DIRECTION('ref_axis',(-1.,0.,0.));
1941#1913=DIRECTION('center_axis',(0.,0.,1.));
1942#1914=DIRECTION('ref_axis',(-1.,0.,0.));
1943#1915=DIRECTION('',(0.,0.,1.));
1944#1916=DIRECTION('center_axis',(0.,0.,-1.));
1945#1917=DIRECTION('ref_axis',(-1.,0.,0.));
1946#1918=DIRECTION('center_axis',(0.,0.,1.));
1947#1919=DIRECTION('ref_axis',(-1.,0.,0.));
1948#1920=DIRECTION('center_axis',(0.,0.,1.));
1949#1921=DIRECTION('ref_axis',(-1.,0.,0.));
1950#1922=DIRECTION('',(0.,0.,1.));
1951#1923=DIRECTION('center_axis',(0.,0.,-1.));
1952#1924=DIRECTION('ref_axis',(-1.,0.,0.));
1953#1925=DIRECTION('center_axis',(0.,-1.,0.));
1954#1926=DIRECTION('ref_axis',(-1.,0.,0.));
1955#1927=DIRECTION('center_axis',(0.,-1.,0.));
1956#1928=DIRECTION('ref_axis',(-1.,0.,0.));
1957#1929=DIRECTION('',(0.,-1.,0.));
1958#1930=DIRECTION('center_axis',(0.,1.,0.));
1959#1931=DIRECTION('ref_axis',(-1.,0.,0.));
1960#1932=DIRECTION('center_axis',(0.,-1.,0.));
1961#1933=DIRECTION('ref_axis',(-1.,0.,0.));
1962#1934=DIRECTION('center_axis',(0.,-1.,0.));
1963#1935=DIRECTION('ref_axis',(-1.,0.,0.));
1964#1936=DIRECTION('',(0.,-1.,0.));
1965#1937=DIRECTION('center_axis',(0.,1.,0.));
1966#1938=DIRECTION('ref_axis',(-1.,0.,0.));
1967#1939=DIRECTION('center_axis',(0.,-1.,0.));
1968#1940=DIRECTION('ref_axis',(-1.,0.,0.));
1969#1941=DIRECTION('center_axis',(0.,-1.,0.));
1970#1942=DIRECTION('ref_axis',(-1.,0.,0.));
1971#1943=DIRECTION('',(0.,-1.,0.));
1972#1944=DIRECTION('center_axis',(0.,1.,0.));
1973#1945=DIRECTION('ref_axis',(-1.,0.,0.));
1974#1946=DIRECTION('center_axis',(0.,-1.,0.));
1975#1947=DIRECTION('ref_axis',(-1.,0.,0.));
1976#1948=DIRECTION('center_axis',(0.,-1.,0.));
1977#1949=DIRECTION('ref_axis',(-1.,0.,0.));
1978#1950=DIRECTION('',(0.,-1.,0.));
1979#1951=DIRECTION('center_axis',(0.,1.,0.));
1980#1952=DIRECTION('ref_axis',(-1.,0.,0.));
1981#1953=DIRECTION('center_axis',(0.,-1.,0.));
1982#1954=DIRECTION('ref_axis',(-1.,0.,0.));
1983#1955=DIRECTION('center_axis',(0.,-1.,0.));
1984#1956=DIRECTION('ref_axis',(-1.,0.,0.));
1985#1957=DIRECTION('',(0.,-1.,0.));
1986#1958=DIRECTION('center_axis',(0.,1.,0.));
1987#1959=DIRECTION('ref_axis',(-1.,0.,0.));
1988#1960=DIRECTION('center_axis',(0.,-1.,0.));
1989#1961=DIRECTION('ref_axis',(-1.,0.,0.));
1990#1962=DIRECTION('center_axis',(0.,-1.,0.));
1991#1963=DIRECTION('ref_axis',(-1.,0.,0.));
1992#1964=DIRECTION('',(0.,-1.,0.));
1993#1965=DIRECTION('center_axis',(0.,1.,0.));
1994#1966=DIRECTION('ref_axis',(-1.,0.,0.));
1995#1967=DIRECTION('center_axis',(0.,-1.,0.));
1996#1968=DIRECTION('ref_axis',(-1.,0.,0.));
1997#1969=DIRECTION('center_axis',(0.,-1.,0.));
1998#1970=DIRECTION('ref_axis',(-1.,0.,0.));
1999#1971=DIRECTION('',(0.,-1.,0.));
2000#1972=DIRECTION('center_axis',(0.,1.,0.));
2001#1973=DIRECTION('ref_axis',(-1.,0.,0.));
2002#1974=DIRECTION('center_axis',(0.,-1.,0.));
2003#1975=DIRECTION('ref_axis',(-1.,0.,0.));
2004#1976=DIRECTION('center_axis',(0.,-1.,0.));
2005#1977=DIRECTION('ref_axis',(-1.,0.,0.));
2006#1978=DIRECTION('',(0.,-1.,0.));
2007#1979=DIRECTION('center_axis',(0.,1.,0.));
2008#1980=DIRECTION('ref_axis',(-1.,0.,0.));
2009#1981=DIRECTION('center_axis',(0.,-1.,0.));
2010#1982=DIRECTION('ref_axis',(-1.,0.,0.));
2011#1983=DIRECTION('center_axis',(0.,-1.,0.));
2012#1984=DIRECTION('ref_axis',(-1.,0.,0.));
2013#1985=DIRECTION('',(0.,-1.,0.));
2014#1986=DIRECTION('center_axis',(0.,1.,0.));
2015#1987=DIRECTION('ref_axis',(-1.,0.,0.));
2016#1988=DIRECTION('center_axis',(0.,-1.,0.));
2017#1989=DIRECTION('ref_axis',(-1.,0.,0.));
2018#1990=DIRECTION('center_axis',(0.,-1.,0.));
2019#1991=DIRECTION('ref_axis',(-1.,0.,0.));
2020#1992=DIRECTION('',(0.,-1.,0.));
2021#1993=DIRECTION('center_axis',(0.,1.,0.));
2022#1994=DIRECTION('ref_axis',(-1.,0.,0.));
2023#1995=DIRECTION('center_axis',(0.,-1.,0.));
2024#1996=DIRECTION('ref_axis',(-1.,0.,0.));
2025#1997=DIRECTION('center_axis',(0.,-1.,0.));
2026#1998=DIRECTION('ref_axis',(-1.,0.,0.));
2027#1999=DIRECTION('',(0.,-1.,0.));
2028#2000=DIRECTION('center_axis',(0.,1.,0.));
2029#2001=DIRECTION('ref_axis',(-1.,0.,0.));
2030#2002=DIRECTION('center_axis',(0.691654801480225,-0.207911690817759,0.691654801480226));
2031#2003=DIRECTION('ref_axis',(0.707106781186548,0.,-0.707106781186547));
2032#2004=DIRECTION('',(-0.707106781186548,0.,0.707106781186547));
2033#2005=DIRECTION('',(-0.287875325719832,-0.957667894857973,0.));
2034#2006=DIRECTION('',(-0.707106781186548,0.,0.707106781186547));
2035#2007=DIRECTION('',(1.11019341964486E-14,0.957667894857976,0.287875325719821));
2036#2008=DIRECTION('center_axis',(0.,0.,1.));
2037#2009=DIRECTION('ref_axis',(1.,0.,0.));
2038#2010=DIRECTION('',(-1.,0.,0.));
2039#2011=DIRECTION('',(0.287875325719832,-0.957667894857973,0.));
2040#2012=DIRECTION('',(-1.,0.,0.));
2041#2013=DIRECTION('center_axis',(-0.691654801480224,-0.207911690817759,0.691654801480227));
2042#2014=DIRECTION('ref_axis',(0.707106781186549,0.,0.707106781186546));
2043#2015=DIRECTION('',(-0.707106781186549,0.,-0.707106781186546));
2044#2016=DIRECTION('',(-1.11548005497658E-14,-0.95766789485797,-0.287875325719841));
2045#2017=DIRECTION('',(-0.707106781186549,0.,-0.707106781186546));
2046#2018=DIRECTION('center_axis',(-1.,0.,3.87487205507206E-14));
2047#2019=DIRECTION('ref_axis',(3.87487205507206E-14,0.,1.));
2048#2020=DIRECTION('',(-3.87487205507206E-14,0.,-1.));
2049#2021=DIRECTION('',(0.,-1.,0.));
2050#2022=DIRECTION('',(-3.87487205507206E-14,0.,-1.));
2051#2023=DIRECTION('center_axis',(0.,0.,-1.));
2052#2024=DIRECTION('ref_axis',(-1.,0.,0.));
2053#2025=DIRECTION('',(1.,0.,0.));
2054#2026=DIRECTION('',(0.,-1.,0.));
2055#2027=DIRECTION('',(1.,0.,0.));
2056#2028=DIRECTION('center_axis',(1.,0.,-3.85650773253617E-14));
2057#2029=DIRECTION('ref_axis',(-3.85650773253617E-14,0.,-1.));
2058#2030=DIRECTION('',(3.85650773253617E-14,0.,1.));
2059#2031=DIRECTION('',(3.85650773253617E-14,0.,1.));
2060#2032=DIRECTION('center_axis',(0.,1.,0.));
2061#2033=DIRECTION('ref_axis',(0.,0.,1.));
2062#2034=DIRECTION('center_axis',(0.,1.,0.));
2063#2035=DIRECTION('ref_axis',(1.,0.,0.));
2064#2036=DIRECTION('',(0.707106781186549,0.,0.707106781186546));
2065#2037=DIRECTION('',(3.87487205507206E-14,0.,1.));
2066#2038=DIRECTION('',(-1.,0.,0.));
2067#2039=DIRECTION('',(-3.85650773253617E-14,0.,-1.));
2068#2040=DIRECTION('',(0.707106781186548,0.,-0.707106781186547));
2069#2041=DIRECTION('center_axis',(-0.691654801480224,-0.207911690817759,0.691654801480227));
2070#2042=DIRECTION('ref_axis',(0.707106781186549,0.,0.707106781186546));
2071#2043=DIRECTION('',(-3.05596984076358E-16,-0.957667894857973,-0.287875325719831));
2072#2044=DIRECTION('',(0.707106781186549,0.,0.707106781186546));
2073#2045=DIRECTION('',(-1.11548005497658E-14,-0.95766789485797,-0.287875325719841));
2074#2046=DIRECTION('center_axis',(0.691654801480225,-0.207911690817759,0.691654801480226));
2075#2047=DIRECTION('ref_axis',(0.707106781186548,0.,-0.707106781186547));
2076#2048=DIRECTION('',(1.11019341964486E-14,0.957667894857976,0.287875325719821));
2077#2049=DIRECTION('',(0.707106781186548,0.,-0.707106781186547));
2078#2050=DIRECTION('center_axis',(1.,0.,-3.85650773253617E-14));
2079#2051=DIRECTION('ref_axis',(-3.85650773253617E-14,0.,-1.));
2080#2052=DIRECTION('',(0.,-1.,0.));
2081#2053=DIRECTION('',(-3.85650773253617E-14,0.,-1.));
2082#2054=DIRECTION('center_axis',(-1.,0.,3.87487205507206E-14));
2083#2055=DIRECTION('ref_axis',(3.87487205507206E-14,0.,1.));
2084#2056=DIRECTION('',(3.87487205507206E-14,0.,1.));
2085#2057=DIRECTION('',(0.,-1.,0.));
2086#2058=DIRECTION('center_axis',(0.,0.,-1.));
2087#2059=DIRECTION('ref_axis',(-1.,0.,0.));
2088#2060=DIRECTION('',(-1.,0.,0.));
2089#2061=DIRECTION('center_axis',(0.691654801480225,-0.207911690817759,0.691654801480226));
2090#2062=DIRECTION('ref_axis',(0.707106781186548,0.,-0.707106781186547));
2091#2063=DIRECTION('',(-0.707106781186548,0.,0.707106781186547));
2092#2064=DIRECTION('',(1.11019341964486E-14,0.957667894857976,0.287875325719821));
2093#2065=DIRECTION('',(0.707106781186548,0.,-0.707106781186547));
2094#2066=DIRECTION('',(-0.287875325719832,-0.957667894857973,0.));
2095#2067=DIRECTION('center_axis',(0.,0.,1.));
2096#2068=DIRECTION('ref_axis',(1.,0.,0.));
2097#2069=DIRECTION('',(-1.,0.,0.));
2098#2070=DIRECTION('',(1.,0.,0.));
2099#2071=DIRECTION('',(-0.287875325719832,0.957667894857973,0.));
2100#2072=DIRECTION('center_axis',(-0.691654801480224,-0.207911690817759,0.691654801480227));
2101#2073=DIRECTION('ref_axis',(0.707106781186549,0.,0.707106781186546));
2102#2074=DIRECTION('',(-0.707106781186549,0.,-0.707106781186546));
2103#2075=DIRECTION('',(0.707106781186549,0.,0.707106781186546));
2104#2076=DIRECTION('',(-1.11548005497658E-14,-0.95766789485797,-0.287875325719841));
2105#2077=DIRECTION('center_axis',(-1.,0.,3.87487205507206E-14));
2106#2078=DIRECTION('ref_axis',(3.87487205507206E-14,0.,1.));
2107#2079=DIRECTION('',(3.87487205507206E-14,0.,1.));
2108#2080=DIRECTION('',(3.87487205507206E-14,0.,1.));
2109#2081=DIRECTION('',(0.,1.,0.));
2110#2082=DIRECTION('center_axis',(0.,0.,-1.));
2111#2083=DIRECTION('ref_axis',(-1.,0.,0.));
2112#2084=DIRECTION('',(-1.,0.,0.));
2113#2085=DIRECTION('',(-1.,0.,0.));
2114#2086=DIRECTION('',(0.,1.,0.));
2115#2087=DIRECTION('center_axis',(1.,0.,-3.85650773253617E-14));
2116#2088=DIRECTION('ref_axis',(-3.85650773253617E-14,0.,-1.));
2117#2089=DIRECTION('',(-3.85650773253617E-14,0.,-1.));
2118#2090=DIRECTION('',(-3.85650773253617E-14,0.,-1.));
2119#2091=DIRECTION('center_axis',(0.,1.,0.));
2120#2092=DIRECTION('ref_axis',(0.,0.,1.));
2121#2093=DIRECTION('center_axis',(0.,1.,0.));
2122#2094=DIRECTION('ref_axis',(1.,0.,0.));
2123#2095=CARTESIAN_POINT('',(0.,0.,0.));
2124#2096=CARTESIAN_POINT('Origin',(-9.00000000000006,0.04,-2.49010640655702));
2125#2097=CARTESIAN_POINT('',(-9.00000000000006,0.,-2.39010640655702));
2126#2098=CARTESIAN_POINT('Origin',(-9.00000000000006,0.,-2.49010640655702));
2127#2099=CARTESIAN_POINT('',(-9.00000000000006,0.08,-2.39010640655702));
2128#2100=CARTESIAN_POINT('',(-9.00000000000006,0.04,-2.39010640655702));
2129#2101=CARTESIAN_POINT('Origin',(-9.00000000000006,0.08,-2.49010640655702));
2130#2102=CARTESIAN_POINT('Origin',(3.99999999999985,0.04,-4.81751771396169));
2131#2103=CARTESIAN_POINT('',(3.99999999999985,0.,-4.71751771396169));
2132#2104=CARTESIAN_POINT('Origin',(3.99999999999985,0.,-4.81751771396169));
2133#2105=CARTESIAN_POINT('',(3.99999999999985,0.08,-4.71751771396169));
2134#2106=CARTESIAN_POINT('',(3.99999999999985,0.04,-4.71751771396169));
2135#2107=CARTESIAN_POINT('Origin',(3.99999999999985,0.08,-4.81751771396169));
2136#2108=CARTESIAN_POINT('Origin',(6.87867965644039,0.04,1.95862524440747));
2137#2109=CARTESIAN_POINT('',(6.87867965644039,0.,2.05862524440747));
2138#2110=CARTESIAN_POINT('Origin',(6.87867965644039,0.,1.95862524440747));
2139#2111=CARTESIAN_POINT('',(6.87867965644039,0.08,2.05862524440747));
2140#2112=CARTESIAN_POINT('',(6.87867965644039,0.04,2.05862524440747));
2141#2113=CARTESIAN_POINT('Origin',(6.87867965644039,0.08,1.95862524440747));
2142#2114=CARTESIAN_POINT('Origin',(-2.08864295836891,0.04,6.74866194247867));
2143#2115=CARTESIAN_POINT('',(-2.08864295836891,0.,6.84866194247867));
2144#2116=CARTESIAN_POINT('Origin',(-2.08864295836891,0.,6.74866194247867));
2145#2117=CARTESIAN_POINT('',(-2.08864295836891,0.08,6.84866194247867));
2146#2118=CARTESIAN_POINT('',(-2.08864295836891,0.04,6.84866194247867));
2147#2119=CARTESIAN_POINT('Origin',(-2.08864295836891,0.08,6.74866194247867));
2148#2120=CARTESIAN_POINT('Origin',(2.08864295836919,0.04,6.74866194247867));
2149#2121=CARTESIAN_POINT('',(2.08864295836919,0.,6.84866194247867));
2150#2122=CARTESIAN_POINT('Origin',(2.08864295836919,0.,6.74866194247867));
2151#2123=CARTESIAN_POINT('',(2.08864295836919,0.08,6.84866194247867));
2152#2124=CARTESIAN_POINT('',(2.08864295836919,0.04,6.84866194247867));
2153#2125=CARTESIAN_POINT('Origin',(2.08864295836919,0.08,6.74866194247867));
2154#2126=CARTESIAN_POINT('Origin',(8.99999999999994,0.04,-2.49010640655693));
2155#2127=CARTESIAN_POINT('',(8.99999999999994,0.,-2.39010640655693));
2156#2128=CARTESIAN_POINT('Origin',(8.99999999999994,0.,-2.49010640655693));
2157#2129=CARTESIAN_POINT('',(8.99999999999994,0.08,-2.39010640655693));
2158#2130=CARTESIAN_POINT('',(8.99999999999994,0.04,-2.39010640655693));
2159#2131=CARTESIAN_POINT('Origin',(8.99999999999994,0.08,-2.49010640655693));
2160#2132=CARTESIAN_POINT('Origin',(-4.00000000000015,0.04,-4.81751771396169));
2161#2133=CARTESIAN_POINT('',(-4.00000000000015,0.,-4.71751771396169));
2162#2134=CARTESIAN_POINT('Origin',(-4.00000000000015,0.,-4.81751771396169));
2163#2135=CARTESIAN_POINT('',(-4.00000000000015,0.08,-4.71751771396169));
2164#2136=CARTESIAN_POINT('',(-4.00000000000015,0.04,-4.71751771396169));
2165#2137=CARTESIAN_POINT('Origin',(-4.00000000000015,0.08,-4.81751771396169));
2166#2138=CARTESIAN_POINT('Origin',(-6.87867965644032,0.04,1.95862524440728));
2167#2139=CARTESIAN_POINT('',(-6.87867965644032,0.,2.05862524440728));
2168#2140=CARTESIAN_POINT('Origin',(-6.87867965644032,0.,1.95862524440728));
2169#2141=CARTESIAN_POINT('',(-6.87867965644032,0.08,2.05862524440728));
2170#2142=CARTESIAN_POINT('',(-6.87867965644032,0.04,2.05862524440728));
2171#2143=CARTESIAN_POINT('Origin',(-6.87867965644032,0.08,1.95862524440728));
2172#2144=CARTESIAN_POINT('Origin',(1.55196537059459,0.489073800366902,8.13946207790502));
2173#2145=CARTESIAN_POINT('',(0.984433901582547,0.645007568480221,7.66951134469672));
2174#2146=CARTESIAN_POINT('Origin',(1.03322426948442,0.645007568480221,7.62072097679485));
2175#2147=CARTESIAN_POINT('',(1.4478426185743,0.505706735632323,8.13292006168847));
2176#2148=CARTESIAN_POINT('',(1.50317500269272,0.489073800366902,8.18825244580689));
2177#2149=CARTESIAN_POINT('Origin',(1.49663298647617,0.505706735632323,8.0841296937866));
2178#2150=CARTESIAN_POINT('Origin',(1.03322426948442,0.645007568480221,7.62072097679485));
2179#2151=CARTESIAN_POINT('Origin',(2.32379814453688,5.62434870421938,8.91129485184731));
2180#2152=CARTESIAN_POINT('',(1.75626667552484,5.7802824723327,8.44134411863901));
2181#2153=CARTESIAN_POINT('Origin',(1.80505704342671,5.7802824723327,8.39255375073714));
2182#2154=CARTESIAN_POINT('',(2.21967539251659,5.6409816394848,8.90475283563076));
2183#2155=CARTESIAN_POINT('',(2.275007776635,5.62434870421938,8.96008521974918));
2184#2156=CARTESIAN_POINT('Origin',(2.26846576041846,5.6409816394848,8.85596246772889));
2185#2157=CARTESIAN_POINT('Origin',(1.80505704342671,5.7802824723327,8.39255375073714));
2186#2158=CARTESIAN_POINT('Origin',(8.26947979186679,0.489073800366902,1.42194765663282));
2187#2159=CARTESIAN_POINT('',(7.70194832285475,0.645007568480222,0.951996923424524));
2188#2160=CARTESIAN_POINT('Origin',(7.75073869075663,0.645007568480222,0.903206555522653));
2189#2161=CARTESIAN_POINT('',(8.1653570398465,0.505706735632324,1.41540564041627));
2190#2162=CARTESIAN_POINT('',(8.22068942396492,0.489073800366902,1.47073802453469));
2191#2163=CARTESIAN_POINT('Origin',(8.21414740774837,0.505706735632324,1.3666152725144));
2192#2164=CARTESIAN_POINT('Origin',(7.75073869075663,0.645007568480222,0.903206555522653));
2193#2165=CARTESIAN_POINT('Origin',(9.04131256580908,5.62434870421938,2.19378043057511));
2194#2166=CARTESIAN_POINT('',(8.47378109679704,5.7802824723327,1.72382969736682));
2195#2167=CARTESIAN_POINT('Origin',(8.52257146469891,5.7802824723327,1.67503932946495));
2196#2168=CARTESIAN_POINT('',(8.93718981378879,5.64098163948481,2.18723841435856));
2197#2169=CARTESIAN_POINT('',(8.99252219790721,5.62434870421938,2.24257079847699));
2198#2170=CARTESIAN_POINT('Origin',(8.98598018169066,5.64098163948481,2.13844804645669));
2199#2171=CARTESIAN_POINT('Origin',(8.52257146469891,5.7802824723327,1.67503932946495));
2200#2172=CARTESIAN_POINT('Origin',(3.1797095698806,0.73361070055036,6.58522576185157));
2201#2173=CARTESIAN_POINT('',(3.82270207647189,5.39644473930135,7.22821826844286));
2202#2174=CARTESIAN_POINT('',(3.1243771857622,0.750243635815775,6.52989337773317));
2203#2175=CARTESIAN_POINT('',(3.08156284712789,0.465384782788826,6.48707903909886));
2204#2176=CARTESIAN_POINT('',(3.87803446059033,5.37981180403593,7.2835506525613));
2205#2177=CARTESIAN_POINT('',(3.87803446059033,5.37981180403593,7.2835506525613));
2206#2178=CARTESIAN_POINT('',(3.1797095698806,0.73361070055036,6.58522576185157));
2207#2179=CARTESIAN_POINT('',(3.13689523124631,0.448751847523406,6.54241142321728));
2208#2180=CARTESIAN_POINT('',(3.1797095698806,0.73361070055036,6.58522576185157));
2209#2181=CARTESIAN_POINT('Origin',(3.26809791752892,0.73361070055036,6.49683741420325));
2210#2182=CARTESIAN_POINT('',(3.19438856260237,0.62797518572405,6.4231280592767));
2211#2183=CARTESIAN_POINT('Origin',(3.21276553341052,0.750243635815775,6.44150503008485));
2212#2184=CARTESIAN_POINT('',(3.24972094672077,0.611342250458635,6.4784604433951));
2213#2185=CARTESIAN_POINT('Origin',(3.26809791752894,0.733610700550354,6.49683741420327));
2214#2186=CARTESIAN_POINT('',(3.24972094672077,0.611342250458635,6.4784604433951));
2215#2187=CARTESIAN_POINT('Origin',(6.60847815735687,0.611342250458635,3.119703232759));
2216#2188=CARTESIAN_POINT('',(6.55314577323847,0.627975185724049,3.0643708486406));
2217#2189=CARTESIAN_POINT('',(3.94568951761308,0.627975185724049,5.67182710426599));
2218#2190=CARTESIAN_POINT('',(6.60847815735687,0.611342250458635,3.119703232759));
2219#2191=CARTESIAN_POINT('',(4.0010219017315,0.611342250458629,5.7271594883844));
2220#2192=CARTESIAN_POINT('',(6.60847815735687,0.611342250458635,3.119703232759));
2221#2193=CARTESIAN_POINT('Origin',(6.62685512816502,0.73361070055036,3.13808020356715));
2222#2194=CARTESIAN_POINT('',(6.65991109169494,0.750243635815775,2.99435947180043));
2223#2195=CARTESIAN_POINT('Origin',(6.57152274404662,0.750243635815776,3.08274781944875));
2224#2196=CARTESIAN_POINT('',(6.71524347581334,0.73361070055036,3.04969185591883));
2225#2197=CARTESIAN_POINT('Origin',(6.62685512816503,0.733610700550355,3.13808020356717));
2226#2198=CARTESIAN_POINT('',(6.71524347581334,0.73361070055036,3.04969185591883));
2227#2199=CARTESIAN_POINT('Origin',(7.41356836652303,5.37981180403594,3.74801674662852));
2228#2200=CARTESIAN_POINT('',(7.35823598240463,5.39644473930135,3.69268436251013));
2229#2201=CARTESIAN_POINT('',(6.96625919841547,2.78848533453161,3.30070757852097));
2230#2202=CARTESIAN_POINT('',(7.41356836652303,5.37981180403594,3.74801674662852));
2231#2203=CARTESIAN_POINT('',(7.02159158253389,2.77185239926619,3.35603996263939));
2232#2204=CARTESIAN_POINT('',(7.41356836652303,5.37981180403594,3.74801674662852));
2233#2205=CARTESIAN_POINT('Origin',(7.32518001887471,5.37981180403594,3.83640509427684));
2234#2206=CARTESIAN_POINT('',(7.28822460556446,5.51871318939308,3.79944968096659));
2235#2207=CARTESIAN_POINT('Origin',(7.26984763475631,5.39644473930135,3.78107271015844));
2236#2208=CARTESIAN_POINT('',(7.34355698968286,5.50208025412766,3.85478206508499));
2237#2209=CARTESIAN_POINT('Origin',(7.32518001887473,5.37981180403593,3.83640509427686));
2238#2210=CARTESIAN_POINT('',(7.34355698968286,5.50208025412766,3.85478206508499));
2239#2211=CARTESIAN_POINT('Origin',(3.9847997790468,5.50208025412765,7.21353927572113));
2240#2212=CARTESIAN_POINT('',(3.92946739492836,5.51871318939308,7.15820689160269));
2241#2213=CARTESIAN_POINT('',(3.00138974462103,5.51871318939308,8.08628454191003));
2242#2214=CARTESIAN_POINT('',(3.9847997790468,5.50208025412765,7.21353927572113));
2243#2215=CARTESIAN_POINT('',(3.05672212873944,5.50208025412766,8.14161692602844));
2244#2216=CARTESIAN_POINT('',(3.9847997790468,5.50208025412765,7.21353927572113));
2245#2217=CARTESIAN_POINT('Origin',(3.96642280823865,5.37981180403593,7.19516230491298));
2246#2218=CARTESIAN_POINT('Origin',(3.91109042412021,5.39644473930136,7.13982992079454));
2247#2219=CARTESIAN_POINT('Origin',(3.96642280823863,5.37981180403593,7.19516230491296));
2248#2220=CARTESIAN_POINT('Origin',(-8.26947979186658,0.489073800366902,1.42194765663278));
2249#2221=CARTESIAN_POINT('',(-7.79952905865829,0.645007568480222,0.854416187620739));
2250#2222=CARTESIAN_POINT('Origin',(-7.75073869075641,0.645007568480221,0.90320655552261));
2251#2223=CARTESIAN_POINT('',(-8.26293777565003,0.505706735632324,1.31782490461249));
2252#2224=CARTESIAN_POINT('',(-8.31827015976845,0.489073800366902,1.37315728873091));
2253#2225=CARTESIAN_POINT('Origin',(-8.21414740774816,0.505706735632324,1.36661527251436));
2254#2226=CARTESIAN_POINT('Origin',(-7.75073869075641,0.645007568480221,0.90320655552261));
2255#2227=CARTESIAN_POINT('Origin',(-9.04131256580887,5.62434870421939,2.19378043057507));
2256#2228=CARTESIAN_POINT('',(-8.57136183260057,5.7802824723327,1.62624896156303));
2257#2229=CARTESIAN_POINT('Origin',(-8.5225714646987,5.78028247233271,1.6750393294649));
2258#2230=CARTESIAN_POINT('',(-9.03477054959232,5.64098163948481,2.08965767855478));
2259#2231=CARTESIAN_POINT('',(-9.09010293371074,5.62434870421939,2.1449900626732));
2260#2232=CARTESIAN_POINT('Origin',(-8.98598018169045,5.64098163948481,2.13844804645665));
2261#2233=CARTESIAN_POINT('Origin',(-8.5225714646987,5.78028247233271,1.6750393294649));
2262#2234=CARTESIAN_POINT('Origin',(-1.55196537059436,0.489073800366901,8.13946207790496));
2263#2235=CARTESIAN_POINT('',(-1.08201463738607,0.645007568480221,7.57193060889292));
2264#2236=CARTESIAN_POINT('Origin',(-1.03322426948419,0.645007568480221,7.62072097679479));
2265#2237=CARTESIAN_POINT('',(-1.54542335437781,0.505706735632323,8.03533932588467));
2266#2238=CARTESIAN_POINT('',(-1.60075573849623,0.489073800366901,8.09067171000309));
2267#2239=CARTESIAN_POINT('Origin',(-1.49663298647594,0.505706735632323,8.08412969378654));
2268#2240=CARTESIAN_POINT('Origin',(-1.03322426948419,0.645007568480221,7.62072097679479));
2269#2241=CARTESIAN_POINT('Origin',(-2.32379814453665,5.62434870421938,8.91129485184726));
2270#2242=CARTESIAN_POINT('',(-1.85384741132835,5.7802824723327,8.34376338283522));
2271#2243=CARTESIAN_POINT('Origin',(-1.80505704342648,5.7802824723327,8.39255375073709));
2272#2244=CARTESIAN_POINT('',(-2.3172561283201,5.64098163948481,8.80717209982697));
2273#2245=CARTESIAN_POINT('',(-2.37258851243852,5.62434870421938,8.86250448394539));
2274#2246=CARTESIAN_POINT('Origin',(-2.26846576041823,5.64098163948481,8.85596246772884));
2275#2247=CARTESIAN_POINT('Origin',(-1.80505704342648,5.7802824723327,8.39255375073709));
2276#2248=CARTESIAN_POINT('Origin',(-7.34355698968269,5.50208025412765,3.85478206508499));
2277#2249=CARTESIAN_POINT('',(-3.92946739492814,5.51871318939308,7.15820689160264));
2278#2250=CARTESIAN_POINT('',(-7.28822460556425,5.51871318939308,3.79944968096655));
2279#2251=CARTESIAN_POINT('',(-8.21630225587159,5.51871318939308,2.87137203065921));
2280#2252=CARTESIAN_POINT('',(-3.98479977904653,5.50208025412766,7.21353927572104));
2281#2253=CARTESIAN_POINT('',(-3.98479977904653,5.50208025412766,7.21353927572104));
2282#2254=CARTESIAN_POINT('',(-7.34355698968269,5.50208025412765,3.85478206508499));
2283#2255=CARTESIAN_POINT('',(-8.27163463999001,5.50208025412766,2.92670441477763));
2284#2256=CARTESIAN_POINT('',(-7.34355698968269,5.50208025412765,3.85478206508499));
2285#2257=CARTESIAN_POINT('Origin',(-7.32518001887453,5.37981180403593,3.83640509427684));
2286#2258=CARTESIAN_POINT('',(-7.35823598240441,5.39644473930136,3.69268436251008));
2287#2259=CARTESIAN_POINT('Origin',(-7.26984763475613,5.39644473930134,3.78107271015844));
2288#2260=CARTESIAN_POINT('',(-7.41356836652285,5.37981180403593,3.74801674662852));
2289#2261=CARTESIAN_POINT('Origin',(-7.32518001887455,5.37981180403592,3.83640509427685));
2290#2262=CARTESIAN_POINT('',(-7.41356836652285,5.37981180403593,3.74801674662852));
2291#2263=CARTESIAN_POINT('Origin',(-6.71524347581312,0.733610700550359,3.04969185591879));
2292#2264=CARTESIAN_POINT('',(-6.65991109169472,0.750243635815775,2.99435947180039));
2293#2265=CARTESIAN_POINT('',(-6.61709675306042,0.465384782788826,2.95154513316608));
2294#2266=CARTESIAN_POINT('',(-6.71524347581312,0.733610700550359,3.04969185591879));
2295#2267=CARTESIAN_POINT('',(-6.67242913717883,0.448751847523405,3.0068775172845));
2296#2268=CARTESIAN_POINT('',(-6.71524347581312,0.733610700550359,3.04969185591879));
2297#2269=CARTESIAN_POINT('Origin',(-6.6268551281648,0.733610700550359,3.1380802035671));
2298#2270=CARTESIAN_POINT('',(-6.55314577323826,0.627975185724049,3.06437084864055));
2299#2271=CARTESIAN_POINT('Origin',(-6.5715227440464,0.750243635815776,3.0827478194487));
2300#2272=CARTESIAN_POINT('',(-6.60847815735665,0.611342250458634,3.11970323275895));
2301#2273=CARTESIAN_POINT('Origin',(-6.62685512816482,0.733610700550355,3.13808020356712));
2302#2274=CARTESIAN_POINT('',(-6.60847815735665,0.611342250458634,3.11970323275895));
2303#2275=CARTESIAN_POINT('Origin',(-3.24972094672054,0.611342250458634,6.47846044339505));
2304#2276=CARTESIAN_POINT('',(-3.19438856260215,0.627975185724049,6.42312805927665));
2305#2277=CARTESIAN_POINT('',(-5.80184481822754,0.62797518572405,3.81567180365126));
2306#2278=CARTESIAN_POINT('',(-3.24972094672054,0.611342250458634,6.47846044339505));
2307#2279=CARTESIAN_POINT('',(-5.85717720234596,0.611342250458628,3.87100418776968));
2308#2280=CARTESIAN_POINT('',(-3.24972094672054,0.611342250458634,6.47846044339505));
2309#2281=CARTESIAN_POINT('Origin',(-3.26809791752869,0.733610700550359,6.49683741420319));
2310#2282=CARTESIAN_POINT('',(-3.12437718576198,0.750243635815775,6.52989337773312));
2311#2283=CARTESIAN_POINT('Origin',(-3.21276553341027,0.750243635815782,6.44150503008477));
2312#2284=CARTESIAN_POINT('',(-3.17970956988037,0.733610700550359,6.58522576185151));
2313#2285=CARTESIAN_POINT('Origin',(-3.26809791752869,0.73361070055036,6.49683741420319));
2314#2286=CARTESIAN_POINT('',(-3.17970956988037,0.733610700550359,6.58522576185151));
2315#2287=CARTESIAN_POINT('Origin',(-3.87803446059007,5.37981180403594,7.28355065256121));
2316#2288=CARTESIAN_POINT('',(-3.82270207647167,5.39644473930136,7.22821826844281));
2317#2289=CARTESIAN_POINT('',(-3.43072529248251,2.78848533453162,6.83624148445365));
2318#2290=CARTESIAN_POINT('',(-3.87803446059007,5.37981180403594,7.28355065256121));
2319#2291=CARTESIAN_POINT('',(-3.48605767660093,2.77185239926619,6.89157386857207));
2320#2292=CARTESIAN_POINT('',(-3.87803446059007,5.37981180403594,7.28355065256121));
2321#2293=CARTESIAN_POINT('Origin',(-3.96642280823838,5.37981180403594,7.19516230491289));
2322#2294=CARTESIAN_POINT('Origin',(-3.91109042412,5.39644473930135,7.13982992079451));
2323#2295=CARTESIAN_POINT('Origin',(-3.96642280823842,5.37981180403593,7.19516230491293));
2324#2296=CARTESIAN_POINT('Origin',(-9.50000000000007,3.75,-2.63655301596374));
2325#2297=CARTESIAN_POINT('',(9.41999999999987,3.75,-4.36655301596448));
2326#2298=CARTESIAN_POINT('Origin',(9.41999999999994,3.75,-2.63655301596448));
2327#2299=CARTESIAN_POINT('',(9.49999999999987,3.75,-4.36655301596448));
2328#2300=CARTESIAN_POINT('',(-9.50000000000013,3.75,-4.36655301596374));
2329#2301=CARTESIAN_POINT('Origin',(9.49999999999994,3.75,-2.63655301596448));
2330#2302=CARTESIAN_POINT('Origin',(-9.50000000000007,3.75,-2.63655301596374));
2331#2303=CARTESIAN_POINT('',(-9.50000000000013,3.75,-4.36655301596374));
2332#2304=CARTESIAN_POINT('Origin',(-9.50000000000007,3.75,-2.63655301596374));
2333#2305=CARTESIAN_POINT('',(-9.42000000000013,3.75,-4.36655301596375));
2334#2306=CARTESIAN_POINT('',(-9.50000000000013,3.75,-4.36655301596374));
2335#2307=CARTESIAN_POINT('Origin',(-9.42000000000007,3.75,-2.63655301596375));
2336#2308=CARTESIAN_POINT('Origin',(-5.00000000000008,5.75,-5.31751771396169));
2337#2309=CARTESIAN_POINT('',(-4.80150000000008,5.75,-5.31751771396169));
2338#2310=CARTESIAN_POINT('Origin',(-5.00000000000008,5.75,-5.31751771396169));
2339#2311=CARTESIAN_POINT('',(-4.80150000000008,5.75,-5.23751771396169));
2340#2312=CARTESIAN_POINT('',(-4.80150000000008,5.75,-5.31751771396169));
2341#2313=CARTESIAN_POINT('Origin',(-5.00000000000008,5.75,-5.23751771396169));
2342#2314=CARTESIAN_POINT('Origin',(-5.00000000000008,1.75,-5.31751771396169));
2343#2315=CARTESIAN_POINT('',(-4.80150000000008,1.75,-5.31751771396169));
2344#2316=CARTESIAN_POINT('Origin',(-5.00000000000008,1.75,-5.31751771396169));
2345#2317=CARTESIAN_POINT('',(-4.80150000000008,1.75,-5.23751771396169));
2346#2318=CARTESIAN_POINT('',(-4.80150000000008,1.75,-5.31751771396169));
2347#2319=CARTESIAN_POINT('Origin',(-5.00000000000008,1.75,-5.23751771396169));
2348#2320=CARTESIAN_POINT('Origin',(4.99999999999992,5.75,-5.31751771396169));
2349#2321=CARTESIAN_POINT('',(5.19849999999992,5.75,-5.31751771396169));
2350#2322=CARTESIAN_POINT('Origin',(4.99999999999992,5.75,-5.31751771396169));
2351#2323=CARTESIAN_POINT('',(5.19849999999992,5.75,-5.23751771396169));
2352#2324=CARTESIAN_POINT('',(5.19849999999992,5.75,-5.31751771396169));
2353#2325=CARTESIAN_POINT('Origin',(4.99999999999992,5.75,-5.23751771396169));
2354#2326=CARTESIAN_POINT('Origin',(4.99999999999992,1.75,-5.31751771396169));
2355#2327=CARTESIAN_POINT('',(5.19849999999991,1.75,-5.31751771396169));
2356#2328=CARTESIAN_POINT('Origin',(4.99999999999992,1.75,-5.31751771396169));
2357#2329=CARTESIAN_POINT('',(5.19849999999991,1.75,-5.23751771396169));
2358#2330=CARTESIAN_POINT('',(5.19849999999991,1.75,-5.31751771396169));
2359#2331=CARTESIAN_POINT('Origin',(4.99999999999992,1.75,-5.23751771396169));
2360#2332=CARTESIAN_POINT('Origin',(3.23437499999999,7.5,7.35210183073059));
2361#2333=CARTESIAN_POINT('',(3.31437499999999,7.5,7.35210183073059));
2362#2334=CARTESIAN_POINT('Origin',(3.23437499999999,7.5,7.35210183073059));
2363#2335=CARTESIAN_POINT('',(3.31437499999999,7.42,7.35210183073059));
2364#2336=CARTESIAN_POINT('',(3.31437499999999,7.5,7.35210183073059));
2365#2337=CARTESIAN_POINT('Origin',(3.23437499999999,7.42,7.35210183073059));
2366#2338=CARTESIAN_POINT('Origin',(-3.234375,7.5,-3.85210183073059));
2367#2339=CARTESIAN_POINT('',(-3.154375,7.5,-3.85210183073059));
2368#2340=CARTESIAN_POINT('Origin',(-3.234375,7.5,-3.85210183073059));
2369#2341=CARTESIAN_POINT('',(-3.154375,7.42,-3.85210183073059));
2370#2342=CARTESIAN_POINT('',(-3.154375,7.5,-3.85210183073059));
2371#2343=CARTESIAN_POINT('Origin',(-3.234375,7.42,-3.85210183073059));
2372#2344=CARTESIAN_POINT('Origin',(3.,7.5,-1.25));
2373#2345=CARTESIAN_POINT('',(3.335,7.5,-1.25));
2374#2346=CARTESIAN_POINT('Origin',(3.,7.5,-1.25));
2375#2347=CARTESIAN_POINT('',(3.335,7.42,-1.25));
2376#2348=CARTESIAN_POINT('',(3.335,7.5,-1.25));
2377#2349=CARTESIAN_POINT('Origin',(3.,7.42,-1.25));
2378#2350=CARTESIAN_POINT('Origin',(-3.234375,7.5,7.35210183073058));
2379#2351=CARTESIAN_POINT('',(-3.154375,7.5,7.35210183073058));
2380#2352=CARTESIAN_POINT('Origin',(-3.234375,7.5,7.35210183073058));
2381#2353=CARTESIAN_POINT('',(-3.154375,7.42,7.35210183073058));
2382#2354=CARTESIAN_POINT('',(-3.154375,7.5,7.35210183073058));
2383#2355=CARTESIAN_POINT('Origin',(-3.234375,7.42,7.35210183073058));
2384#2356=CARTESIAN_POINT('Origin',(6.46875,7.5,1.75));
2385#2357=CARTESIAN_POINT('',(6.54875,7.5,1.75));
2386#2358=CARTESIAN_POINT('Origin',(6.46875,7.5,1.75));
2387#2359=CARTESIAN_POINT('',(6.54875,7.42,1.75));
2388#2360=CARTESIAN_POINT('',(6.54875,7.5,1.75));
2389#2361=CARTESIAN_POINT('Origin',(6.46875,7.42,1.75));
2390#2362=CARTESIAN_POINT('Origin',(0.,7.5,1.75));
2391#2363=CARTESIAN_POINT('',(0.375,7.5,1.75));
2392#2364=CARTESIAN_POINT('Origin',(0.,7.5,1.75));
2393#2365=CARTESIAN_POINT('',(0.375,7.42,1.75));
2394#2366=CARTESIAN_POINT('',(0.375,7.5,1.75));
2395#2367=CARTESIAN_POINT('Origin',(0.,7.42,1.75));
2396#2368=CARTESIAN_POINT('Origin',(-6.46875,7.5,1.75));
2397#2369=CARTESIAN_POINT('',(-6.38875,7.5,1.75));
2398#2370=CARTESIAN_POINT('Origin',(-6.46875,7.5,1.75));
2399#2371=CARTESIAN_POINT('',(-6.38875,7.42,1.75));
2400#2372=CARTESIAN_POINT('',(-6.38875,7.5,1.75));
2401#2373=CARTESIAN_POINT('Origin',(-6.46875,7.42,1.75));
2402#2374=CARTESIAN_POINT('Origin',(3.,7.5,4.75));
2403#2375=CARTESIAN_POINT('',(3.335,7.5,4.75));
2404#2376=CARTESIAN_POINT('Origin',(3.,7.5,4.75));
2405#2377=CARTESIAN_POINT('',(3.335,7.42,4.75));
2406#2378=CARTESIAN_POINT('',(3.335,7.5,4.75));
2407#2379=CARTESIAN_POINT('Origin',(3.,7.42,4.75));
2408#2380=CARTESIAN_POINT('Origin',(-3.,7.5,4.75));
2409#2381=CARTESIAN_POINT('',(-2.665,7.5,4.75));
2410#2382=CARTESIAN_POINT('Origin',(-3.,7.5,4.75));
2411#2383=CARTESIAN_POINT('',(-2.665,7.42,4.75));
2412#2384=CARTESIAN_POINT('',(-2.665,7.5,4.75));
2413#2385=CARTESIAN_POINT('Origin',(-3.,7.42,4.75));
2414#2386=CARTESIAN_POINT('Origin',(3.234375,7.5,-3.85210183073059));
2415#2387=CARTESIAN_POINT('',(3.314375,7.5,-3.85210183073059));
2416#2388=CARTESIAN_POINT('Origin',(3.234375,7.5,-3.85210183073059));
2417#2389=CARTESIAN_POINT('',(3.314375,7.42,-3.85210183073059));
2418#2390=CARTESIAN_POINT('',(3.314375,7.5,-3.85210183073059));
2419#2391=CARTESIAN_POINT('Origin',(3.234375,7.42,-3.85210183073059));
2420#2392=CARTESIAN_POINT('Origin',(-3.,7.5,-1.25));
2421#2393=CARTESIAN_POINT('',(-2.665,7.5,-1.25));
2422#2394=CARTESIAN_POINT('Origin',(-3.,7.5,-1.25));
2423#2395=CARTESIAN_POINT('',(-2.665,7.42,-1.25));
2424#2396=CARTESIAN_POINT('',(-2.665,7.5,-1.25));
2425#2397=CARTESIAN_POINT('Origin',(-3.,7.42,-1.25));
2426#2398=CARTESIAN_POINT('Origin',(1.27098155552723,0.180525929761878,8.2120316534309));
2427#2399=CARTESIAN_POINT('',(9.42000000000004,0.08,0.0327950770473656));
2428#2400=CARTESIAN_POINT('',(0.162812791009089,0.08,9.28998228603831));
2429#2401=CARTESIAN_POINT('',(2.4845769425382,0.0799999999999999,6.9682181345092));
2430#2402=CARTESIAN_POINT('',(2.36921952358201,7.42,9.28998228603831));
2431#2403=CARTESIAN_POINT('',(0.104168562364899,-0.115090339371114,9.28998228603831));
2432#2404=CARTESIAN_POINT('',(9.42000000000012,7.42,2.23920180962019));
2433#2405=CARTESIAN_POINT('',(3.58778030882466,7.42,8.07142150079566));
2434#2406=CARTESIAN_POINT('',(9.42000000000006,1.5292470981402,0.468439294281449));
2435#2407=CARTESIAN_POINT('Origin',(-2.42893218813435,0.,9.28998228603831));
2436#2408=CARTESIAN_POINT('',(-0.162812791008801,0.08,9.28998228603831));
2437#2409=CARTESIAN_POINT('',(-1.21446609406719,0.08,9.28998228603831));
2438#2410=CARTESIAN_POINT('',(-2.36921952358172,7.42,9.28998228603831));
2439#2411=CARTESIAN_POINT('',(-0.598457008242944,1.52924709814033,9.28998228603831));
2440#2412=CARTESIAN_POINT('',(-1.21446609406719,7.42,9.28998228603831));
2441#2413=CARTESIAN_POINT('Origin',(-8.34204936739247,0.180525929761878,1.14096384156541));
2442#2414=CARTESIAN_POINT('',(-9.41999999999996,0.08,0.0327950770471967));
2443#2415=CARTESIAN_POINT('',(-6.02011084847076,0.0799999999999999,3.43268422857638));
2444#2416=CARTESIAN_POINT('',(-9.41999999999988,7.42,2.23920180962019));
2445#2417=CARTESIAN_POINT('',(-9.41999999999997,-0.495343681030333,-0.14015344766243));
2446#2418=CARTESIAN_POINT('',(-7.12331421475722,7.42,4.53588759486284));
2447#2419=CARTESIAN_POINT('Origin',(-9.42000000000017,0.,-5.31751771396169));
2448#2420=CARTESIAN_POINT('',(-9.42000000000017,0.08,-5.23751771396169));
2449#2421=CARTESIAN_POINT('',(-9.42000000000003,0.08,-1.64564271396151));
2450#2422=CARTESIAN_POINT('',(-9.42000000000017,7.42,-5.23751771396169));
2451#2423=CARTESIAN_POINT('',(-9.42000000000017,0.,-5.23751771396169));
2452#2424=CARTESIAN_POINT('',(-9.42000000000003,7.42,-1.64564271396151));
2453#2425=CARTESIAN_POINT('Origin',(9.49999999999983,0.,-5.23751771396169));
2454#2426=CARTESIAN_POINT('',(9.41999999999984,0.08,-5.23751771396169));
2455#2427=CARTESIAN_POINT('',(4.74999999999991,0.08,-5.23751771396169));
2456#2428=CARTESIAN_POINT('',(9.41999999999984,7.42,-5.23751771396169));
2457#2429=CARTESIAN_POINT('',(9.41999999999984,0.,-5.23751771396169));
2458#2430=CARTESIAN_POINT('',(4.74999999999991,7.42,-5.23751771396169));
2459#2431=CARTESIAN_POINT('Origin',(9.42000000000013,0.,2.29891447417283));
2460#2432=CARTESIAN_POINT('',(9.42000000000012,0.08,2.16257338010539));
2461#2433=CARTESIAN_POINT('',(9.42000000000012,7.42,2.16257338010539));
2462#2434=CARTESIAN_POINT('Origin',(-2.09805925913415E-14,7.42,2.02623228603831));
2463#2435=CARTESIAN_POINT('Origin',(-2.09805925913415E-14,0.08,2.02623228603831));
2464#2436=CARTESIAN_POINT('',(-8.49999999999998,0.08,-0.377348222805707));
2465#2437=CARTESIAN_POINT('',(1.43488150632334E-13,0.08,8.12265177719437));
2466#2438=CARTESIAN_POINT('',(-5.35503919854432,0.08,2.76761257864994));
2467#2439=CARTESIAN_POINT('',(-8.50000000000013,0.08,-4.31751771396169));
2468#2440=CARTESIAN_POINT('',(-8.50000000000003,0.08,-1.64564271396155));
2469#2441=CARTESIAN_POINT('',(8.49999999999987,0.08,-4.31751771396169));
2470#2442=CARTESIAN_POINT('',(4.74999999999991,0.08,-4.31751771396169));
2471#2443=CARTESIAN_POINT('',(8.50000000000003,0.0800000000000001,-0.377348222805506));
2472#2444=CARTESIAN_POINT('',(8.50000000000012,0.08,2.16257338010542));
2473#2445=CARTESIAN_POINT('',(1.81950529261176,0.08,6.30314648458276));
2474#2446=CARTESIAN_POINT('Origin',(-7.70572695003067,0.371804685314217,0.504641424203603));
2475#2447=CARTESIAN_POINT('',(1.42668029621122E-13,-2.18547839493141E-17,8.09860374741156));
2476#2448=CARTESIAN_POINT('',(1.43214399219855E-13,-0.777871486184334,7.86477528907456));
2477#2449=CARTESIAN_POINT('',(-8.49999999999998,0.,-0.401396252588519));
2478#2450=CARTESIAN_POINT('',(-5.34301518365291,0.,2.75558856375853));
2479#2451=CARTESIAN_POINT('',(-8.49999999999998,-0.382271702406228,-0.516307268645909));
2480#2452=CARTESIAN_POINT('Origin',(0.634659138165422,0.371804685314216,7.57570923606909));
2481#2453=CARTESIAN_POINT('',(8.50000000000002,1.45698559662094E-17,-0.401396252588315));
2482#2454=CARTESIAN_POINT('',(8.50000000000005,1.64231907676431,0.0922854732980014));
2483#2455=CARTESIAN_POINT('',(1.80748127772035,-4.37095678986282E-17,6.29112246969135));
2484#2456=CARTESIAN_POINT('Origin',(8.50000000000013,0.,2.29891447417286));
2485#2457=CARTESIAN_POINT('',(8.49999999999987,0.,-4.31751771396169));
2486#2458=CARTESIAN_POINT('',(8.49999999999987,0.,-4.31751771396169));
2487#2459=CARTESIAN_POINT('',(8.50000000000012,0.,2.16257338010542));
2488#2460=CARTESIAN_POINT('Origin',(-8.50000000000017,0.,-5.31751771396173));
2489#2461=CARTESIAN_POINT('',(-8.50000000000013,0.,-4.31751771396169));
2490#2462=CARTESIAN_POINT('',(-8.50000000000003,0.,-1.64564271396155));
2491#2463=CARTESIAN_POINT('',(-8.50000000000013,0.,-4.31751771396169));
2492#2464=CARTESIAN_POINT('Origin',(9.49999999999983,0.,-4.31751771396169));
2493#2465=CARTESIAN_POINT('',(4.74999999999991,0.,-4.31751771396169));
2494#2466=CARTESIAN_POINT('Origin',(1.32631393964565,0.163892994496457,8.26736403754932));
2495#2467=CARTESIAN_POINT('',(9.50000000000004,-1.74838271594513E-16,0.0444116820343696));
2496#2468=CARTESIAN_POINT('',(0.174429395996096,0.,9.36998228603831));
2497#2469=CARTESIAN_POINT('',(2.5303852450317,0.,7.0140264370027));
2498#2470=CARTESIAN_POINT('',(9.50000000000013,7.5,2.29891447417282));
2499#2471=CARTESIAN_POINT('',(9.50000000000006,1.51941475217289,0.501148322193053));
2500#2472=CARTESIAN_POINT('',(2.42893218813464,7.5,9.36998228603831));
2501#2473=CARTESIAN_POINT('',(9.50000000000013,7.5,2.29891447417282));
2502#2474=CARTESIAN_POINT('',(0.136877590276505,-0.124922685338427,9.36998228603831));
2503#2475=CARTESIAN_POINT('Origin',(-2.42893218813435,0.,9.36998228603831));
2504#2476=CARTESIAN_POINT('',(-0.174429395995809,0.,9.36998228603831));
2505#2477=CARTESIAN_POINT('',(2.42893218813464,0.,9.36998228603831));
2506#2478=CARTESIAN_POINT('',(-2.42893218813435,7.5,9.36998228603831));
2507#2479=CARTESIAN_POINT('',(2.42893218813464,7.5,9.36998228603831));
2508#2480=CARTESIAN_POINT('',(-0.631166036154549,1.51941475217301,9.36998228603831));
2509#2481=CARTESIAN_POINT('Origin',(-8.39738175151089,0.163892994496457,1.19629622568383));
2510#2482=CARTESIAN_POINT('',(-9.49999999999996,0.,0.0444116820342027));
2511#2483=CARTESIAN_POINT('',(-6.06591915096426,0.,3.47849253106989));
2512#2484=CARTESIAN_POINT('',(-9.49999999999987,7.5,2.29891447417282));
2513#2485=CARTESIAN_POINT('',(-9.49999999999987,7.5,2.29891447417282));
2514#2486=CARTESIAN_POINT('',(-9.49999999999997,-0.505176026997647,-0.107444419750823));
2515#2487=CARTESIAN_POINT('Origin',(-9.50000000000017,0.,-5.31751771396169));
2516#2488=CARTESIAN_POINT('',(-9.50000000000017,0.,-5.31751771396169));
2517#2489=CARTESIAN_POINT('',(-9.50000000000017,0.,-5.31751771396169));
2518#2490=CARTESIAN_POINT('',(-9.50000000000017,7.5,-5.31751771396169));
2519#2491=CARTESIAN_POINT('',(-9.50000000000017,7.5,-5.31751771396169));
2520#2492=CARTESIAN_POINT('',(-9.50000000000017,0.,-5.31751771396169));
2521#2493=CARTESIAN_POINT('Origin',(9.49999999999983,0.,-5.31751771396169));
2522#2494=CARTESIAN_POINT('',(9.49999999999983,0.,-5.31751771396169));
2523#2495=CARTESIAN_POINT('',(9.49999999999983,0.,-5.31751771396169));
2524#2496=CARTESIAN_POINT('',(9.49999999999983,7.5,-5.31751771396169));
2525#2497=CARTESIAN_POINT('',(9.49999999999983,7.5,-5.31751771396169));
2526#2498=CARTESIAN_POINT('',(9.49999999999983,0.,-5.31751771396169));
2527#2499=CARTESIAN_POINT('Origin',(9.50000000000013,0.,2.29891447417282));
2528#2500=CARTESIAN_POINT('',(9.50000000000013,0.,2.29891447417282));
2529#2501=CARTESIAN_POINT('',(9.50000000000013,7.5,2.29891447417282));
2530#2502=CARTESIAN_POINT('Origin',(-2.09805925913415E-14,7.5,2.02623228603831));
2531#2503=CARTESIAN_POINT('Origin',(-2.09805925913415E-14,0.,2.02623228603831));
2532#2504=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.000393700787401575),
2533#2509,'DISTANCE_ACCURACY_VALUE',
2534'Maximum model space distance between geometric entities at asserted c
2535onnectivities');
2536#2505=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.000393700787401575),
2537#2509,'DISTANCE_ACCURACY_VALUE',
2538'Maximum model space distance between geometric entities at asserted c
2539onnectivities');
2540#2506=(
2541GEOMETRIC_REPRESENTATION_CONTEXT(3)
2542GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#2504))
2543GLOBAL_UNIT_ASSIGNED_CONTEXT((#2509,#2512,#2513))
2544REPRESENTATION_CONTEXT('','3D')
2545);
2546#2507=(
2547GEOMETRIC_REPRESENTATION_CONTEXT(3)
2548GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#2505))
2549GLOBAL_UNIT_ASSIGNED_CONTEXT((#2509,#2512,#2513))
2550REPRESENTATION_CONTEXT('','3D')
2551);
2552#2508=DIMENSIONAL_EXPONENTS(1.,0.,0.,0.,0.,0.,0.);
2553#2509=(
2554CONVERSION_BASED_UNIT('inch',#2511)
2555LENGTH_UNIT()
2556NAMED_UNIT(#2508)
2557);
2558#2510=(
2559LENGTH_UNIT()
2560NAMED_UNIT(*)
2561SI_UNIT(.MILLI.,.METRE.)
2562);
2563#2511=LENGTH_MEASURE_WITH_UNIT(LENGTH_MEASURE(25.4),#2510);
2564#2512=(
2565NAMED_UNIT(*)
2566PLANE_ANGLE_UNIT()
2567SI_UNIT($,.RADIAN.)
2568);
2569#2513=(
2570NAMED_UNIT(*)
2571SI_UNIT($,.STERADIAN.)
2572SOLID_ANGLE_UNIT()
2573);
2574#2514=SHAPE_DEFINITION_REPRESENTATION(#2515,#2516);
2575#2515=PRODUCT_DEFINITION_SHAPE('',$,#2518);
2576#2516=SHAPE_REPRESENTATION('',(#1496),#2506);
2577#2517=PRODUCT_DEFINITION_CONTEXT('part definition',#2522,'design');
2578#2518=PRODUCT_DEFINITION('Medium Antenna Dual PAAM',
2579'Medium Antenna Dual PAAM v14',#2519,#2517);
2580#2519=PRODUCT_DEFINITION_FORMATION('',$,#2524);
2581#2520=PRODUCT_RELATED_PRODUCT_CATEGORY('Medium Antenna Dual PAAM v14',
2582'Medium Antenna Dual PAAM v14',(#2524));
2583#2521=APPLICATION_PROTOCOL_DEFINITION('international standard',
2584'automotive_design',2009,#2522);
2585#2522=APPLICATION_CONTEXT(
2586'Core Data for Automotive Mechanical Design Process');
2587#2523=PRODUCT_CONTEXT('part definition',#2522,'mechanical');
2588#2524=PRODUCT('Medium Antenna Dual PAAM','Medium Antenna Dual PAAM v14',
2589$,(#2523));
2590#2525=PRESENTATION_STYLE_ASSIGNMENT((#2526));
2591#2526=SURFACE_STYLE_USAGE(.BOTH.,#2527);
2592#2527=SURFACE_SIDE_STYLE('',(#2528));
2593#2528=SURFACE_STYLE_FILL_AREA(#2529);
2594#2529=FILL_AREA_STYLE('Steel - Satin',(#2530));
2595#2530=FILL_AREA_STYLE_COLOUR('Steel - Satin',#2531);
2596#2531=COLOUR_RGB('Steel - Satin',0.627450980392157,0.627450980392157,0.627450980392157);
2597ENDSEC;
2598END-ISO-10303-21;